FPGA实现Cordic算法求解arctan和sqr(x*2 + y* 2)

这篇具有很好参考价值的文章主要介绍了FPGA实现Cordic算法求解arctan和sqr(x*2 + y* 2)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一. 简介

由于在项目中需要使用的MPU6050,进行姿态解算,计算中设计到**arctan 和 sqr(x2 + y 2),**这两部分的计算,在了解了一番之后,发现Cordic算法可以很方便的一次性求出这两个这两部分的计算。另外也可以一次性求出sin和cos的值。另外该算法还可以计算其他的一些公式(没做过多的了解)。

二. Cordic算法

该算法的核心实现就是旋转逼近,每次旋转一定的角度,无限的逼近所给定的角度值。

1. 理论基础

首先有向量P0,现在要将其旋转θ角度,到Pm。 那么Pm的坐标值如下

xm = x0cosθ - y0sinθ = cosθ(x0 – y0tanθ)

ym = x0sinθ + y0cosθ = cosθ(y0 + x0tanθ)

P0和Pm均在单位圆上,另外假设现在P0在X轴上,即 X0 = 1,y0 = 0。上式就可以变为如下显示

xm = x0cosθ - y0sinθ = cosθ

ym = x0sinθ + y0cosθ = sinθ

可以看到Pm的坐标值,就是sinθ 和 cosθ的值。这就是理论基础。

基于verilog的赛灵思cordic反正切fpga例程,FPGA,fpga开发,算法,Cordic

2. sinθ 和 cosθ 算法实现

有了理论支持后,我们只需要求解Pm的坐标即可。直接旋转θ不太可能,但是我们可以每次旋转特定的角度θi (tanθi = 1/2^i),让我们的角度值逼近θ即可。于是就有了如下迭代公式。

x(i+1) = cosθi* (xi – yi * tanθi)

y(i+1) = cosθi * (yi + xi * tanθi)

θ(i+1) = θi (±) dθi

如果当前角度小于设定角度,那么就加dθ ,大于设定角度 , 那么就减dθ。由于每次旋转的dθ,会越来越小,所以旋转的当前角度会越来越来接近设定角度。

计算过程中 ,cosθi,只充当缩放因子,对旋转方向没有影响。可以先在软件中提取技术出来。每次旋转角度值如下。

基于verilog的赛灵思cordic反正切fpga例程,FPGA,fpga开发,算法,Cordic

3. arctan (x,y)和 sqr(x*2 + y * 2)算法实现

在求解sinθ 和 cosθ 的时候,知道,给定一个角度,按照上述方法就可以求解。现在将其反过来,给定sinθ 和 cosθ的值,也就是Pm的坐标(可能不在单位圆上,只是模值缩放了),现在只需要将其旋转到X轴的正半轴上,即Y = 0 ,X > 0的时候,所旋转过的角度值即arctan (x,y)。

然后P0的X坐标值即sqr(x2 + y * 2)。旋转过程中,向量的模值是不会改变的,而Pm的模值就是sqr(x2 + y * 2)。

三. Cordic算法实现

首先将上述角度值,存储到verilog中,需要进行扩大处理。由于tanθi = 1/2^i),所以对应的tanθ也是知道的。在相乘的时候,只需要将对应的数右移对应的位数即可

`define rot0  32'd2949120       //45度*2^16
`define rot1  32'd1740992       //26.5651度*2^16
`define rot2  32'd919872        //14.0362度*2^16
`define rot3  32'd466944        //7.1250度*2^16
`define rot4  32'd234368        //3.5763度*2^16
`define rot5  32'd117312        //1.7899度*2^16
`define rot6  32'd58688         //0.8952度*2^16
`define rot7  32'd29312         //0.4476度*2^16
`define rot8  32'd14656         //0.2238度*2^16
`define rot9  32'd7360          //0.1119度*2^16
`define rot10 32'd3648          //0.0560度*2^16
`define rot11 32'd1856          //0.0280度*2^16
`define rot12 32'd896           //0.0140度*2^16
`define rot13 32'd448           //0.0070度*2^16
`define rot14 32'd256           //0.0035度*2^16
`define rot15 32'd128           //0.0018度*2^16

然后就是迭代过程了,迭代16次足够了。最后的Zn和Xn就是想要结果。

//旋转
genvar i;
generate
    for( i = 1 ;i < 17 ;i = i+1)
    begin: loop2
        always@(posedge clk or negedge rst_n)
        begin
            if( rst_n == 1'b0)
            begin
                Xn[i] <= 'd0;
                Yn[i] <= 'd0;
                Zn[i] <= 'd0;
            end
            else if( cal_delay[i -1] == 1'b1)
            begin
                if( Yn[i-1][31] == 1'b0)
                begin
                    Xn[i] <= Xn[i-1] + (Yn[i-1] >>> (i-1));
                    Yn[i] <= Yn[i-1] - (Xn[i-1] >>> (i-1));
                    Zn[i] <= Zn[i-1] + rot[i-1];
                end
                else
                begin
                    Xn[i] <= Xn[i-1] - (Yn[i-1] >>> (i-1));
                    Yn[i] <= Yn[i-1] + (Xn[i-1] >>> (i-1));
                    Zn[i] <= Zn[i-1] - rot[i-1];
                end
            end
            else
            begin
                Xn[i] <= Xn[i];
                Yn[i] <= Yn[i];
                Zn[i] <= Zn[i];
            end
        end
    end
endgenerate

这里没有乘cosθ,最后的Xn会比真实值大1.64倍左右,所以还需要对其进行一个缩小操作,通过右移来近似实现。

assign cordic_ack = cal_delay[16];
assign theta      = Zn[16];
assign amplitude  = (Xn[16] >>> 1) + (Xn[16] >>> 3);  幅度,偏大1.64倍,这里做了近似处理

然后就是仿真了,给了X=Y=15,也就是角度为45度,幅值21.213,扩大65536倍为1,376,256。可以看到结果近似。

基于verilog的赛灵思cordic反正切fpga例程,FPGA,fpga开发,算法,Cordic

需要完整文件的可以关注公众号 FPGA之旅,私聊。后面等MPU6050的姿态解算模块完成了再完整上传。文章来源地址https://www.toymoban.com/news/detail-806293.html

到了这里,关于FPGA实现Cordic算法求解arctan和sqr(x*2 + y* 2)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA上基于Verilog的TCP乱序重排算法实现及性能评估

    基于fpga的tcp乱序重排算法实现,通过verilog实现适用于fpga的tcp乱序重排算法,并通过实际数据测试验证。 代码里包含注释,可以明白每个模块的含义。 采用自创的乱序重排算法,易于在硬件中实现。 该算法和工程可用于实际应用、算法设计、研究学习。 提供测试用的抓包文

    2024年04月13日
    浏览(29)
  • 一种基于FPGA的TCP乱序重排算法,并通过Verilog语言进行了实现

    基于fpga的tcp乱序重排算法实现,通过verilog实现适用于fpga的tcp乱序重排算法,并通过实际数据测试验证。 代码里包含注释,可以明白每个模块的含义。 采用自创的乱序重排算法,易于在硬件中实现。 该算法和工程可用于实际应用、算法设计、研究学习。 提供测试用的抓包文

    2024年02月05日
    浏览(40)
  • FPGA实现图像去雾 基于暗通道先验算法 纯verilog代码加速 提供2套工程源码和技术支持

    FPGA实现图像去雾 基于暗通道先验算法 纯verilog代码加速 提供3套工程源码和技术支持 没玩过图像缩放和视频拼接都不好意思说自己玩儿过FPGA,这是CSDN某大佬说过的一句话,鄙人深信不疑。。。本文详细描述了FPGA实现图像去雾的实现设计方案,视频输入源有两种,一种是板载

    2024年02月01日
    浏览(28)
  • 紫光同创FPGA实现图像去雾 基于暗通道先验算法 纯verilog代码加速 提供2套工程源码和技术支持

    紫光同创FPGA实现图像去雾 基于暗通道先验算法 纯verilog代码加速 提供2套工程源码和技术支持 2019年初我刚出道时,还是Xilinx遥遥领先的时代(现在貌似也是),那时的国产FPGA还处于黑铁段位,国产FPGA仰望Xilinx情不自禁道:你以为躲在这里就找不到你吗?没用的,你那样拉轰的

    2024年02月06日
    浏览(32)
  • Simulink HDL Coder FPGA初级开发实践(五)FFT以及CORDIC算法进行信号相位校正

    前言: 本栏目除特别说明以外,均采用的黑金AX7103开发板,该开发板时钟频率为200M,并且是双端时钟,因此在每个项目中都有一段原语将双端时钟变成200MHz的单端时钟。文章仅作为学习记录,如有不足请在评论区指出,博主不会对各位的问题作出解答,请谅解。博主深知网

    2024年02月06日
    浏览(37)
  • 基于FPGA的DES加解密verilog实现

    目录 一、理论基础 二、核心程序 三、仿真结论        DES(Data Encryption Standard)是一种对称密钥加密算法,是目前应用最广泛的加密算法之一。它是由美国国家标准局(National Institute of Standards and Technology,NIST)于1977年发布并公开的,用于保护计算机系统中的数据安全。

    2024年02月09日
    浏览(30)
  • 基于FPGA的移相波束形成verilog实现

    欢迎订阅《FPGA学习入门100例教程》、《MATLAB学习入门100例教程》 目录 一、理论基础 二、核心程序 三、测试结果

    2023年04月08日
    浏览(30)
  • 【FPGA教程案例40】通信案例10——基于FPGA的简易OFDM系统verilog实现

    FPGA教程目录 MATLAB教程目录 --------------------------------------------------------------------------------------- 目录 1.软件版本 2.OFDM原理 3.OFDM系统的verilog实现

    2024年02月12日
    浏览(44)
  • 基于FPGA和Verilog实现的9层电梯控制器仿真设计

    资源下载地址:https://download.csdn.net/download/sheziqiong/85628810 资源下载地址:https://download.csdn.net/download/sheziqiong/85628810 电梯最少可以往返于0—9层楼。 乘客要去的楼层数A可手动输入并显示,按取消键可清除本次输入。 可自动显示电梯运行的楼层数B 当AB时,电梯上升; 当AB时,

    2024年02月02日
    浏览(59)
  • 基于FPGA的4x4矩阵键盘控制器verilog开发实现

    欢迎订阅《FPGA学习入门100例教程》、《MATLAB学习入门100例教程》 目录 一、理论基础 二、核心程序 三、测试结果        基于FPGA的4x4矩阵键盘控制器是一种使用FPGA(现场可编程门阵列)来实现对4x4矩阵键盘进行控制的设备。该控制器能够有效地降低硬件资源的使用,提高系

    2024年02月11日
    浏览(37)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包