STM32_SPI总线驱动OLED详细原理讲解

这篇具有很好参考价值的文章主要介绍了STM32_SPI总线驱动OLED详细原理讲解。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

第13章 Cortex-M4-SPI总线

13.1 SPI总线概述

13.1.1 SPI总线介绍

SPI(Serial Peripheral interface):是由Motorola公司开发的串行外围设备接口,是一种高速的,全双工,同步的通信总线。主要应用在 EEPROM,FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器等器件。

UART:异步串行全双工

SPI:同步串行全双工

13.1.2 SPI总线接口与物理拓扑结构

(1)五线制接口(4线SPI)—4-wire-spi

MOSI(单向数据线)、MISO(单向数据线)、CLK(时钟线)、NSS/CS(片选)

spi数据格式有哪几种,stm32,嵌入式硬件

(2)四线制接口(3线SPI)

SDA(双向数据线)、CLK(时钟线)、NSS/CS(片选)

spi数据格式有哪几种,stm32,嵌入式硬件

(3) 拓扑图

spi数据格式有哪几种,stm32,嵌入式硬件

在SPI总线上,有主机(MCU)和从机(外围器件)之分,主机只有一个,从机可以有多个。

主机通过从机的片选信号线来选中从机与其进行通信。同一时间只能选择其中一个从机。随着SPI总线上挂接的从机增多,主机的片选IO也响应会增多。

M:master O:output S:slaver I:Input

MOSI(单向数据线):主出从入,主机通过这跟数据线发送数据给从机。

MISO(单向数据线):主入从出,从机通过这跟数据线发送数据给主机。

SCK(单向):时钟线,控制数据线什么时候才能传输数据。只有主机才能控制时钟线。

只有主机才能主动寻求从机与其通信,从机永远不可能主动跟主机通信。

CS:片选信号线,主机通过片选信号线来选中从机与其通信。

13.1.3 SPI总线通信原理

主机片选从机

主机通过时钟线决定什么时候发送数据给从机

主机通过时钟线决定什么时候接受从机的数据

主机取消片选

补充:

spi数据格式有哪几种,stm32,嵌入式硬件

spi数据格式有哪几种,stm32,嵌入式硬件

平行线:数据不允许发生改变,必须稳定发送

交叉线:数据允许发生改变,但不一定要变

13.1.4 SPI总线数据格式

SPI数据格式有4种:MODE0~3

决定数据格式的因素:时钟线哪一种跳边沿发送数据,前沿还是后沿接受数据,总线的空闲电平状态

spi数据格式有哪几种,stm32,嵌入式硬件

spi数据格式有哪几种,stm32,嵌入式硬件

CPHA:时钟相位。当CPHA=0,在第一个跳变沿(前沿)采集数据;当CPHA=1,在第二个跳边沿(后沿)采集数据。CPHA决定是前沿还是后沿采集数据和输出数据。

CPOL:时钟极性。当CPOL=0,总线空闲电平为低电平;当CPOL=1,总线的空闲电平为高电平。间接决定了哪一种跳边沿采集数据和发送数据。

spi数据格式有哪几种,stm32,嵌入式硬件

如果一个设备支持MODE0,同时也会支持MODE3 (CPHA为0)

如果一个设备支持MODE1,同时也会支持MODE2

MODE0:

spi数据格式有哪几种,stm32,嵌入式硬件

下降沿发送数据,上升沿采集数据

当SCK产生下降沿时,主机在MOSI上发送数据,同时从机在MISO上发送数据

等数据稳定在数据线上

当SCK产生上升沿时,主机在MISO上采集数据,同时从机在MOSI上采集数据

主机发送一位数据给从机:

SCK=0;//主机准备数据

MOSI=0/1;

SCK=1;//从机采集数据

主机接收一位数据给从机:

SCK=0;//从机准备数据

SCK=1;//主机采集数据.

读取MISO

主机和从机通信起始就是数据交换:

spi数据格式有哪几种,stm32,嵌入式硬件

主机发送一个字节数据给从机//void SPI_Send_Byte(uint8_t data) //data = 1100 0000//{// uint8_t i;// for(i=0;i<8;i++)// {// SPI_SCK_L;//主机准备数据// if(data&0x80) // 1100 0000 & 1000 0000 // ((A=0)&&(B=1))// SPI_MOSI_H;// else// SPI_MOSI_L; // // data<<=1;//让次高位变成最高位 // data=data<<1;// // SPI_SCK_H;//从机采集数据// }//} 主机读取一个字节数据//uint8_t SPI_Revice_Byte(void)//{// uint8_t i;// uint8_t data=0; // for(i=0;i<8;i++)// {// SPI_SCK_L;//从机准备数据 // SPI_SCK_H;//主机采集数据// data <<=1;//空出最低位保存读取的数据// if(SPI_MISO)// data |=1;// }// // return data;//} //函数功能:SPI主机从机传输数据//参数说明:主机待发送的数据//返回值:主机接到到的数据uint8_t SPI_Exchange_Byte(uint8_t data){ uint8_t i; for(i=0;i<8;i++) { SPI_SCK_L;//主机准备数据/从机准备数据 if(data&0x80)SPI_MOSI_H; else SPI_MOSI_L; data<<=1;//让次高位变成最高位/空出最低位保存读取的数据 SPI_SCK_H;//从机采集数据/主机采集数据 if(SPI_MISO) data |=1; } return data;}只写:SPI_Exchange_Byte(data);只读:data=SPI_Exchange_Byte(0xFF);//发什么不重要

13.2 IO口模拟SPI操作OLED

OLED_CS(CS)—PB7----MCU发出----普通功能推挽输出

OLED_SCLK(SCK)—PB13–MCU发出----普通功能推挽输出

OLED_DIN(MOSI)—PB15—MCU发出----普通功能推挽输出

MISO–这里不需要

13.2.1 常见的显示设备

LED、数码管、点阵、LCD屏、OLED屏(消费电子)

13.2.2 OLED显示屏概述

OLED,即有机发光二极管(Organic Light-Emitting Diode),又称为有机电激光显示(Organic Electroluminesence Display, OELD)。因为具备轻薄、省电等特性,因此从2003 年开始,这种显示设备在 MP3 播放器上得到了广泛应用,而对于同属数码类产品的 DC与手机,此前只是在一些展会上展示过采用 OLED 屏幕的工程样品。自 2007 年后,寿命得到很大提高,具备了许多 LCD 不可比拟的优势。

补充:

像素点:构成是一幅完整画面的最小单元

分辨率:一幅完整画面横向像素点的个数乘以纵向像素点的个数

帧:一幅完整画面就为一帧。

色深:表示一个像素点颜色数据的位数。16bpp,24bpp,32bpp

13.2.3 OLED特征

分辨率:128*64

尺寸:1.3寸

13.2.4 显示原理

主要目的:让OLED显示东西

显示内容从哪里来?MCU

MCU如何发显示数据给OLED?

\1. 相关管脚接线

\2. 遵循一定的通信协议—SPI

一般要让显示设备显示出内容,都需要显示屏的驱动芯片。

在STM32上,一般都是没有集成显示屏驱动芯片,那么显示模块本身就要具备自己的驱动芯片

spi数据格式有哪几种,stm32,嵌入式硬件

13.2.5 管脚介绍

spi数据格式有哪几种,stm32,嵌入式硬件

通信模式选择

spi数据格式有哪几种,stm32,嵌入式硬件

spi数据格式有哪几种,stm32,嵌入式硬件

spi数据格式有哪几种,stm32,嵌入式硬件

OLED_CS:片选管脚,低电平有效

OLED_RES:复位管脚,低电平有效

OLED_D/C:数据命令选择管脚。当OLED_D/C=0,输入的数据是作为命令;当OLED_D/C=1,输入的数 据是作为显示数据

OLED_SDIN:串行数据输入管脚

OLED_SCLK:串行时钟线

OLED_CS、OLED_RES、OLED_D/C是控制管脚

OLED_SDIN、OLED_SCLK是数据管脚

13.2.6 OLED驱动

13.2.6.1 驱动时序

spi数据格式有哪几种,stm32,嵌入式硬件

跟SPI的MODE0/3一样

13.2.7 操作指令

13.2.7.1 设置列地址(Y坐标)

spi数据格式有哪几种,stm32,嵌入式硬件

设定列地址为column(A7~A0)

高4位列地址命令:0001A7A6A5A4—00010000| A7A6A5A4—0x10 | (column&0xf0)>>4

低4位列地址命令:0000A3A2A1A0—00000000|A3A2A1A0—0x00 | (column&0x0f)

OLED_DC=0;//发送命令

SPI_Exchange_Byte(0x10 | (column&0xf0)>>4);//发送高4位列地址(A7~A4)

SPI_Exchange_Byte(0x00 | (column&0x0f) );//发送低4位列地址(A3~A0)

13.2.7.2 页地址

OLED屏一共有64行,将这64行平均分成8份,每一份有8行,每一份就为一页

设定页地址是设定在本页的首行开始显示,而不能设定在本页的任意行开始显示

spi数据格式有哪几种,stm32,嵌入式硬件

设定页地址为page(A3~A0)

页地址命令:1011A3A2A1A0—10110000 | A3A2A1A0—0xB0|page

OLED_DC=0;//发送命令

SPI_Exchange_Byte(0xB0|page);//设定页地址

13.2.8 OLED初始化

在前面知道OLED的管脚功能,也知道了MCU跟OLED是采用SPI通信。就算对OLED所有的管脚进行了初始化,并且完成SPI协议,但是仍然不能让OLED正常工作起来。我们还需要对OLED进行真正的初始化,就是对显示控制器(驱动芯片)进行初始化工作。

这个初始化官方已经提供了初始化序列给我们,直接调用就行 。

OELD_RES=1; delay_ms(100); OELD_RES=0; delay_ms(100); OELD_RES=1; delay_ms(100); Oled_Write_Cmd(0xAE); //关闭显示 Oled_Write_Cmd(0xD5); //设置时钟分频因子,震荡频率 Oled_Write_Cmd(80); //[3:0],分频因子;[7:4],震荡频率 Oled_Write_Cmd(0xA8); //设置驱动路数 Oled_Write_Cmd(0X3F); //默认0X3F(1/64) Oled_Write_Cmd(0xD3); //设置显示偏移 Oled_Write_Cmd(0X00); //默认为0 Oled_Write_Cmd(0x40); //设置显示开始行 [5:0],行数. Oled_Write_Cmd(0x8D); //电荷泵设置 Oled_Write_Cmd(0x14); //bit2,开启/关闭 Oled_Write_Cmd(0x20); //设置内存地址模式 Oled_Write_Cmd(0x02); //[1:0],00,列地址模式;01,行地址模式;10,页地址模式;默认10; Oled_Write_Cmd(0xA1); //段重定义设置,bit0:0,0->0;1,0->127; Oled_Write_Cmd(0xC8); //设置COM扫描方向;bit3:0,普通模式;1,重定义模式 COM[N-1]->COM0;N:驱动路数 Oled_Write_Cmd(0xDA); //设置COM硬件引脚配置 Oled_Write_Cmd(0x12); //[5:4]配置 Oled_Write_Cmd(0x81); //对比度设置 Oled_Write_Cmd(0xEF); //1~255;默认0X7F (亮度设置,越大越亮) Oled_Write_Cmd(0xD9); //设置预充电周期 Oled_Write_Cmd(0xf1); //[3:0],PHASE 1;[7:4],PHASE 2; Oled_Write_Cmd(0xDB); //设置VCOMH 电压倍率 Oled_Write_Cmd(0x30); //[6:4] 000,0.65vcc;001,0.77vcc;011,0.83*vcc; Oled_Write_Cmd(0xA4); //全局显示开启;bit0:1,开启;0,关闭;(白屏/黑屏) Oled_Write_Cmd(0xA6); //设置显示方式;bit0:1,反相显示;0,正常显示 Oled_Write_Cmd(0xAF); //开启显示

当我调用OLED初始化函数后,OLED屏就有东西显示出来。但是我在本代码里并没有发送任何显示数据。这是因为我OLED显示控制器的显存里面已经存在着显示数据,我初始化成功了就能从显存把显示数据显示在OLED屏上。

但是正常情况并不希望在初始化OLED完成有任何东西显示出来。所以在OLED初始化完成后要有一个清屏动作。

如何清屏?–其实就是发送显示数据。

13.3 OLED显示方式说明

spi数据格式有哪几种,stm32,嵌入式硬件

每发送完一个显示数据,列地址会自动加1.

13.4 显示代码的编程流程

得到显示数据

设定页地址

设定列地址

发送显示数据

13.5 显示文字

13.5.1 程序设计流程

得到带显示文字的点阵编码

设定页地址

设定列地址

发送显示数据

13.5.2 取模方法

spi数据格式有哪几种,stm32,嵌入式硬件

spi数据格式有哪几种,stm32,嵌入式硬件

spi数据格式有哪几种,stm32,嵌入式硬件

13.6 显示图片

13.6.1 程序设计流程

13.6.2 取模方法

13.7 STM32的SPI控制器操作OLED

spi数据格式有哪几种,stm32,嵌入式硬件

13.7.1 STM32的SPI总线介绍

串行外设接口(SPI)允许芯片与外部设备以半/全双工、同步、串行方式通信。此接口可以被配置成主模式,并为外部从设备提供通信时钟(SCK)。接口还能以多主配置方式工作。
它可用于多种用途,包括使用一条双向数据线的双线单工同步传输,还可使用CRC校验的可靠通信。

13.7.1.1 SPI控制器特征

● 3线全双工同步传输
● 带或不带第三根双向数据线的双线单工同步传输
● 8或16位传输帧格式选择
● 主或从操作(做为主设备还是从设备)
● 支持多主模式
● 8个主模式波特率预分频系数(最大为fPCLK/2)–设定SPI数据传输速度
● 从模式频率 (最大为fPCLK/2)
● 主模式和从模式的快速通信
● 主模式和从模式下均可以由软件或硬件进行NSS管理:主/从操作模式的动态改变
● 可编程的时钟极性和相位(决定MODE0~3)
● 可编程的数据顺序, MSB在前或LSB在前
● 可触发中断的专用发送和接收标志
● SPI总线忙状态标志
● 支持可靠通信的硬件CRC
─ 在发送模式下, CRC值可以被作为最后一个字节发送

─ 在全双工模式中对接收到的最后一个字节自动进行CRC校验
● 可触发中断的主模式故障、过载以及CRC错误标志
● 支持DMA功能的1字节发送和接收缓冲器:产生发送和接受请求

13.7.2 STM32的SPI控制器框架(重点)

spi数据格式有哪几种,stm32,嵌入式硬件

要通过SPI控制器发送数据,就是要把数据写入到数据寄存器(DR)里,然后数据寄存器(DR)里的数据就会被送到移位寄存器里,然后再移位寄存器中的数据就会按照设定的帧格式(高位先出/低位先出)一位一位地通过MOSI发送出去,同时也会通过MISO一位一位地接收到新的数据。当移位寄存器把待发送的数据全部发送出去后,也就会接受一个完整的新数据,移位寄存器就会把这个新数据送到接收缓冲区里。然后通过读取数据寄存器(DR)就能得到该数据。

上述数据传输过程受到主控制电路的控制。

13.7.3 STM32的SPI相关寄存器

spi数据格式有哪几种,stm32,嵌入式硬件

13.7.4 SPI控制器使用

spi数据格式有哪几种,stm32,嵌入式硬件

速度限制:

spi数据格式有哪几种,stm32,嵌入式硬件

[外链图片转存中…(img-bwDJFQxy-1700124605457)]

要通过SPI控制器发送数据,就是要把数据写入到数据寄存器(DR)里,然后数据寄存器(DR)里的数据就会被送到移位寄存器里,然后再移位寄存器中的数据就会按照设定的帧格式(高位先出/低位先出)一位一位地通过MOSI发送出去,同时也会通过MISO一位一位地接收到新的数据。当移位寄存器把待发送的数据全部发送出去后,也就会接受一个完整的新数据,移位寄存器就会把这个新数据送到接收缓冲区里。然后通过读取数据寄存器(DR)就能得到该数据。

上述数据传输过程受到主控制电路的控制。

13.7.3 STM32的SPI相关寄存器

[外链图片转存中…(img-dJL9Kwwf-1700124605457)]

13.7.4 SPI控制器使用

[外链图片转存中…(img-jod05jCk-1700124605463)]

速度限制:

[外链图片转存中…(img-IzVxDiRY-1700124605463)]

spi数据格式有哪几种,stm32,嵌入式硬件文章来源地址https://www.toymoban.com/news/detail-808554.html

到了这里,关于STM32_SPI总线驱动OLED详细原理讲解的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • STM32初学入门笔记(5):使用STM32CubeMX通过SPI,IIC驱动OLED屏幕

    随着时代的进步,OLED显示屏成为了继LCD显示屏之后的新一代显示屏技术,OLED具有可视角高,功耗低,厚度薄,耐冲击、振动能力强,像素响应时间低等优点,在嵌入式开发中,OLED显示器也是一个主要的部分,制作OLED显示模块的驱动也是学习STM32路上的重要一部分,本篇将从

    2024年02月04日
    浏览(20)
  • STM32F103C8T6—库函数应用I2C/SPI驱动OLED显示中文、字符串

    I2C(Inter-Integrated Circuit) SPI(Serial Peripheral Interface) 传输方式 半双工 全双工 传输速度 低速,100Kbps----4Mbps 高速,30Mbps以上 几线制 4线制:VCC,GND,SCL,SDA 6/7线制:VCC,GND,SCLK(D0),MOSI(D1/SDA),DC,CS/SS 主从模式 多主机总线,通过SDA上的地址信息锁定从机 只有一个主机,主

    2024年02月02日
    浏览(23)
  • 讲解STM32驱动WS281x灯珠的多种实现方式:普通IO、SPI+DMA、以及PWM+DMA驱动方法

    STM32作为一款高性能、功能丰富的单片机,其丰富的外设和强大的性能,使其在嵌入式领域得到了广泛的应用。本篇文章将介绍如何利用STM32驱动WS281x系列的LED灯珠。我们会使用三种不同的驱动方式进行实现:一种是普通IO方式驱动,一种是SPI+DMA方式驱动,最后一种是PWM+DMA方

    2024年02月11日
    浏览(16)
  • 江涛带你玩STM-CubeMx之OLED使用3线SPI和4线SPI驱动详解

    江涛带你玩STM-CubeMx之实战硬件SPI和硬件IIC驱动OLED 江涛带你玩STM-CubeMx之实战驱动温湿度模块--DTH11 江涛带你玩0.96-OLED之实战stm32的RTC时钟(上)

    2023年04月08日
    浏览(10)
  • 零死角玩转stm32中级篇3-SPI总线

    一.基础知识 1.什么是SPI SPI(Serial Peripheral Interface,串行外设接口)是一种同步的串行通信协议,它被用于在微控制器、存储器芯片、传感器和其他外围设备之间传输数据。SPI通常由四个线组成:时钟线(SCK)、主设备输出/从设备输入(MOSI)、从设备输出/主设备输入(MISO)

    2024年02月06日
    浏览(21)
  • STM32--7针0.96寸OLED屏幕显示(4线SPI)

    本文介绍基于STM32F103C8T6+0.96寸OLED(7针)的显示(完整程序代码见文末链接) 一、简介 OLED,即有机发光二极管( Organic Light Emitting Diode)。 OLED 由于同时具备自发光,不需背光源、对比度高、厚度薄、视角广、反应速度快、可用于挠曲性面板、使用温度范围广、构造及制程较

    2024年01月16日
    浏览(25)
  • arm学习stm32之spi总线数码管倒计时

    由于时间没有用时间计时器操作,有些误差,后续有空会翻新计时器版本 main.c spi.c spi.h

    2024年02月13日
    浏览(18)
  • U8g2库的STM32硬件SPI(DMA)移植教程(HAL、OLED显示、四线SPI、DMA)

    本文教你把U8g2图形库移植到STM32上,基于STM32的硬件SPI、CubeMX U8g2库Github网址:https://github.com/olikraus/u8g2 U8g2库CSDN镜像网址:https://gitcode.net/mirrors/olikraus/u8g2?utm_source=csdn_github_accelerator 硬件准备:STM32C8T6(STM32系列芯片)、0.96寸OLED(128×64)、J-Link(或其他) 引脚连接: 出自此

    2024年02月09日
    浏览(25)
  • 2023版 STM32实战11 SPI总线读写W25Q

    英文全称:Serial peripheral Interface 串行外设接口 -1- 串行(逐bit传输) -2- 同步(共用时钟线) -3- 全双工(收发可同时进行) -4- 通信只能由主机发起(一主,多从机) -1- CS片选一般配置为软件控制 -2- 片选低电平有效,从器件CS引脚可直接连接GND -3- 从机不能主动给主机发数据 -4- 主机想要

    2024年02月08日
    浏览(14)
  • STM32存储左右互搏 SPI总线读写SD/MicroSD/TF卡

    SD/MicroSD/TF卡是基于FLASH的一种常见非易失存储单元,由接口协议电路和FLASH构成。市面上由不同尺寸和不同容量的卡,手机领域用的TF卡实际就是MicroSD卡,尺寸比SD卡小,而电路和协议操作则是一样。这里介绍STM32CUBEIDE开发平台HAL库SPI总线操作SD/MicroSD/TF卡的例程。 除了在硬件

    2024年04月12日
    浏览(13)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包