电子拔河比赛游戏机的设计Verilog代码vivado ego1开发板

这篇具有很好参考价值的文章主要介绍了电子拔河比赛游戏机的设计Verilog代码vivado ego1开发板。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

名称:电子拔河比赛游戏机的设计Verilog代码vivado  ego1开发板(文末获取)

软件:vivado

语言:Verilog

代码功能:

电子拔河比赛游戏机的设计

时中间的LED亮。比赛时双方通过按扭使中间亮的LED向己方移动,当亮至某    电子拔河游戏机供2~3人玩耍。由一排LED表示拔河的“电子绳”。初态

方最后一个LED时,该方获胜,并记分。

设计要求

(1)比赛开始,由裁判下达比赛“开始”命令后,双方才能输入信号否则电路自锁,输入信号无效    (2)“电子绳”至少由15个LED构成,裁判下达比赛“开始”命令后

位于中间的LED亮。甲乙双方通过按键输入信号,使发亮的LED向自己一方移    动,并能阻止其向对方移动。当自己一方终点LED亮时,表示比赛结束。此时电路自锁,保持当前状态不变,除非由裁判使电路复位。    (3)设计甲乙双方自动记分电路,在每次比赛结束时能自动加分,用数码管显示得分    注:附加功能根据本人能力自行添加(如可以自动记录比赛时间等等)

本代码已在ego1开发板验证,ego1开发板如下,其他开发板可以修改管脚适配:

电子拔河比赛游戏机的设计Verilog代码vivado ego1开发板,fpga开发

1. 工程文件

电子拔河比赛游戏机的设计Verilog代码vivado ego1开发板,fpga开发

2. 程序文件

电子拔河比赛游戏机的设计Verilog代码vivado ego1开发板,fpga开发

3. 程序编译

电子拔河比赛游戏机的设计Verilog代码vivado ego1开发板,fpga开发

4. 管脚约束

电子拔河比赛游戏机的设计Verilog代码vivado ego1开发板,fpga开发

5. Testbench

电子拔河比赛游戏机的设计Verilog代码vivado ego1开发板,fpga开发

6. 仿真图

6.1 整体仿真

电子拔河比赛游戏机的设计Verilog代码vivado ego1开发板,fpga开发

6.2 控制模块仿真

电子拔河比赛游戏机的设计Verilog代码vivado ego1开发板,fpga开发

6.3显示模块仿真

电子拔河比赛游戏机的设计Verilog代码vivado ego1开发板,fpga开发

电子拔河比赛游戏机的设计Verilog代码vivado ego1开发板,fpga开发

6.4 按键消抖模块

电子拔河比赛游戏机的设计Verilog代码vivado ego1开发板,fpga开发

部分代码展示:

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2019/05/25 20:04:44
// Design Name: 
// Module Name: bahe_game
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//
module bahe_game(
input clk_100M,//标准时钟,100MHz
input reset_n,//复位信号,低有效 
input restart,//裁判控制重新开始
input player_1_key,//选手1
input player_2_key,//选手2
output [14:0] led,//15个led
//数码管显示
output  [7:0] seg_display_1,
output  [3:0] bit_display_1,  
output  [7:0] seg_display_2,
output  [3:0] bit_display_2
    );
wire [7:0] minute_time;//游戏时间分钟
wire [7:0] second_time;//游戏时间秒
wire [7:0] score_1;//选手1分数
wire [7:0] score_2;//选手2分数
wire player_1;
wire player_2;
key_debounce U1_key_debounce(
     . clk(clk_100M),
     . button_in(player_1_key),//输入
 . button_posedge(player_1)//消抖后按键上升沿
);
key_debounce U2_key_debounce(
     . clk(clk_100M),
     . button_in(player_2_key),//输入
 . button_posedge(player_2)//消抖后按键上升沿
);
bahe_ctrl U_bahe_ctrl(
. clk_100M(clk_100M),//标准时钟,100MHz
. reset_n(reset_n),//复位信号,低有效 
. restart(restart),//裁判控制重新开始
. player_1(player_1),//选手1
. player_2(player_2),//选手2
. led(led),//15个led
. minute_time(minute_time),//游戏时间分钟
. second_time(second_time),//游戏时间秒
. score_1(score_1),//选手1分数
. score_2(score_2)//选手2分数
);
display U_display(
. clk_100M(clk_100M),//标准时钟,100MHz
. reset_n(reset_n),//复位信号,低有效 
. minute_time(minute_time),//游戏时间分钟
. second_time(second_time),//游戏时间秒
. score_1(score_1),//选手1分数
. score_2(score_2),//选手2分数
//数码管显示
. seg_display_1(seg_display_1),
. bit_display_1(bit_display_1),  
. seg_display_2(seg_display_2),
. bit_display_2(bit_display_2)
);
endmodule
源代码

 扫描文章末尾的公众号二维码文章来源地址https://www.toymoban.com/news/detail-812485.html

到了这里,关于电子拔河比赛游戏机的设计Verilog代码vivado ego1开发板的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 应用在游戏机触摸屏中的触摸感应芯片

    触屏游戏机的屏幕是由液晶屏和触控层组成的。触控层分为电容式触屏和电阻式触屏两种。电容式触屏是将悬空电极和屏幕玻璃上的电极组成静电场,当人体接近屏幕时,就会改变静电场分布,从而实现触摸的位置探测。而电阻式触屏则是利用玻璃上的两层电极之间通电形成一个

    2024年01月18日
    浏览(33)
  • WTN6170-8S语音芯片:射击游戏机新时代,CS音效射击体验引领者

    在射击游戏机的刺激世界中,唯创知音的WTN6170-8S语音芯片,作为声音提示播放IC,为射击游戏机带来了更智能、更富有战斗感的音效体验,引领玩家进入一个崭新的射击游戏时代。 射击游戏机启动时,WTN6170-8S语音芯片通过战场音效,如爆炸声、射击声等,迅速拉近玩家与虚

    2024年02月05日
    浏览(41)
  • 任天堂,steam游戏机通过type-c给VR投屏与PD快速充电的方案 三type-c口投屏转接器

    游戏手柄这个概念,最早要追溯到二十年前玩FC游戏的时候,那时候超级玛丽成为了许多人童年里难忘的回忆,虽然长大了才知道超级玛丽是翻译错误,应该是任天堂的超级马里奥,不过这并不影响大家对他的喜爱。 当时FC家用机手柄还是采用这种9孔接口,手柄按键也只有方

    2024年02月03日
    浏览(67)
  • 华为OD机试 - 拔河比赛(Java & JS & Python)

    题目描述 公司最近准备进行拔河比赛,需要在全部员工中进行挑选。 选拔的规则如下: 按照身高优先、体重次优先的方式准备比赛阵容; 规定参赛的队伍派出10名选手。 请实现一个选拔队员的小程序。 输入为一个数组,记录了部门人员的身高、体重信息,如[身高,体重]的

    2024年02月12日
    浏览(34)
  • 【华为OD机试真题2023B卷 JAVA&JS】拔河比赛

    知识点排序 时间限制:1s 空间限制:32MB 限定语言:不限 公司最近准备进行拔河比赛,需要在全部员工中进行挑选。 选拔的规则如下: 1)按照身高优先、体重次优先的方式准备比赛阵容; 2)规定参赛的队伍派出10名选手。 请实现一个选拔队员的小程序。 输入为一个数组

    2024年02月10日
    浏览(29)
  • FPGA课设:拔河游戏

     一、实验要求 用设计一拔河游戏机,要求如下:电路使用15个发光二极管表示拔河的“电子绳”,开机后只有中间一个发亮,此即拔河的中心点。游戏双方各持一个按钮,迅速地、不断地按动产生脉冲,谁按的快,亮点就向谁的一方移动,每按一次,亮点移动一次。亮点一

    2024年02月03日
    浏览(24)
  • 电子时钟设计(verilog实现)

    Quartus编程实现时钟,具有基本的时间显示功能(00:00:00~23:59:59),以及其他附加功能:调整时间,设置闹铃,或者其它与时钟相关的功能。Modelsim实现仿真。 设计代码: 仿真代码: 仿真结果: //时钟模块    从仿真图中看出,当使能端口en为低电平时,时钟暂停工作,当en为高

    2024年02月11日
    浏览(35)
  • 南京观海微电子----Verilog流水线设计——Pipeline

    1.  前言 在工程师实际开发过程中,可能会经常遇到这样的需求:数据从数据源端不断地持续输入FPGA,FPGA需要对数据进行处理,最后将处理好的数据输出至客户端。 在数据处理过程中,可能需要一系列的处理步骤。比如常规的信号进行处理步骤有(这里的处理步骤只是举个

    2024年01月19日
    浏览(32)
  • 基于FPGA的数字电子琴——数电小系统设计【数字电子技术】(使用Vivado中的verilog语言)含piano代码文件(全)

    目录 一、电路功能描述 二、方案设计 1. 总体设计方案 2. 对原理框图或程序流程图做出简单解释 3. 各模块介绍 3.1 音频驱动模块 3.2 LED显示模块 3.3 数码管显示模块 3.4 矩阵键盘模块 3.5 PS2机械键盘模块 3.6 时钟分频模块 3.7 曲谱生成模块 三、总结 数码管和LED显示模块 矩阵键盘

    2024年02月06日
    浏览(33)
  • 盘古石杯电子取证比赛WP

    刚刚比完了比赛,觉得自己还有很多东西没做过,现在趁着写WP的时候顺便复盘一下,望各位大佬指正。 2023年5月4日中午收到短信通知告诉我可以下检材了,但是一看到300G的大小,得益于百度网盘那稳定于2G时代的网速,告诉我什么叫做绝望,直到比赛的前一个小时才下完的

    2024年02月07日
    浏览(31)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包