基于 ARM SoC 的视频传输系统设计(10-01-01)引言

这篇具有很好参考价值的文章主要介绍了基于 ARM SoC 的视频传输系统设计(10-01-01)引言。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

芯片原厂必学课程 - 第十篇章 - 01 基于 ARM SoC 的视频传输系统设计

10-01-01 引言

新芯设计:专注,积累,探索,挑战

引言

  对于《基于 SoC 的卷积神经网络车牌识别系统设计》这个极具竞争的项目而言,其主要是通过 CPU 软核 IP 在纯 FPGA 平台上构建一个 AI SoC 卷积神经网络车牌识别系统,其中,缩放、填充层、卷积层、ReLU、池化层、全连接层 IP 都是 Verilog 设计的,同时集成了各种高性能的 IP,这是最具挑战性的 AI SoC 芯片设计项目,集成了 Python 卷积神经网络代码、Verilog 卷积神经网络硬件设计、ARM SoC 设计、ARM 与 FPGA 的交叉编译流程等等。这就对于想要入门数字 IC 设计的学员的学习能力要求较高,同时还需要投入非常多的一个学习时间,最终导致了学员学习较为吃力且较难吸收

  于是《基于 SoC 的卷积神经网络车牌识别系统设计》划分成了《基于 ARM SoC 的视频传输系统设计》《基于 AI IP 的卷积神经网络车牌识别设计》

  虽然最近忙于流片工作和量产项目,依旧投入了大量的时间于《基于 ARM SoC 的视频传输系统设计》的设计推进,目前已经是完全最新的设计,主要的成果如下所示,主要包括了系统架构、顶层集成和内存映射,资源占比和功耗统计,时序约束和引脚约束,编程载入和信号观测,软件代码、内核信息和内核识别,调试模式和串口打印以及上板记录

  NOTES:本文来自《芯片原厂必学课程 - 第十篇章 - 基于 ARM SoC 的视频传输系统设计》技术专栏


🌏 一、系统架构、顶层集成和内存映射

  ✅ 这是关于系统架构_详细版系统架构_简化版顶层集成内存映射的成果:

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程

//《基于 ARM SoC 的视频传输系统设计》的顶层端口:
module arm_video_soc_top
(
    //CLOCK && RESET
    input  wire        sys_clk_p          ,
    input  wire        sys_clk_n          ,
    input  wire        sys_rst_n          ,
    //OV5640 && IIC
    input  wire        cmos_pclk_i        ,
    input  wire        cmos_href_i        ,
    input  wire        cmos_vsync_i       ,
    input  wire [7:0]  cmos_data_i        ,
    output wire        cmos_xclk_o        ,
    output wire        cmos_rstn_o        ,
    inout  wire        cmos_i2c_scl       ,
    inout  wire        cmos_i2c_sda       ,
    //VGA
    output wire        vga_dclk_o         ,
    output wire        vga_hsync_o        ,
    output wire        vga_vsync_o        ,
    output wire        vga_de_o           ,
    output wire [23:0] vga_data_o         ,
    //GPIO
    inout  wire        GPIO_KEY_IN        ,
    inout  wire        GPIO_LED_OUT       ,
    //UART
    input  wire        UART_rxd           ,
    output wire        UART_txd           ,
    //SWD
    input  wire        SWD_TCK            ,
    inout  wire        SWD_TMS            ,
    //FLASH
    inout  wire        QSPI_FLASH_D0      ,
    inout  wire        QSPI_FLASH_D1      ,
    inout  wire        QSPI_FLASH_D2      ,
    inout  wire        QSPI_FLASH_D3      ,
    inout  wire        QSPI_FLASH_SCK     ,
    inout  wire        QSPI_FLASH_SS      ,
    //DDR3
    output wire [14:0] DDR3_addr          ,
    output wire [2:0]  DDR3_ba            ,
    output wire        DDR3_cas_n         ,
    output wire [0:0]  DDR3_ck_n          ,
    output wire [0:0]  DDR3_ck_p          ,
    output wire [0:0]  DDR3_cke           ,
    output wire [0:0]  DDR3_cs_n          ,
    output wire [3:0]  DDR3_dm            ,
    inout  wire [31:0] DDR3_dq            ,
    inout  wire [3:0]  DDR3_dqs_n         ,
    inout  wire [3:0]  DDR3_dqs_p         ,
    output wire [0:0]  DDR3_odt           ,
    output wire        DDR3_ras_n         ,
    output wire        DDR3_reset_n       ,
    output wire        DDR3_we_n          ,
    output wire        init_calib_complete
);

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程


🌏 二、资源占比和功耗统计

  ✅ 这是关于资源占比功耗统计的数据:

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程


🌏 三、时序约束和引脚约束

  ✅ 这是关于时序约束引脚约束的成果:

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程


🌏 四、编程载入和信号观测

  ✅ 这是关于编程载入信号观测的成果:

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程


🌏 五、软件代码、内核信息和内核识别

  ✅ 这是关于软件代码内核信息内核识别的成果:

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程


🌏 六、调试模式和串口打印

  ✅ 这是关于调试模式串口打印的成果:

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程


🌏 七、上板记录

  ✅ 这是关于开发板及其外设开发板视频传输系统的上板记录成果:

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程

基于 ARM SoC 的视频传输系统设计(10-01-01)引言,第十篇章、01 基于 ARM SoC 的视频传输系统设计,IC,FPGA,SoC,Verilog,ARM,硬件开发,硬件工程文章来源地址https://www.toymoban.com/news/detail-822989.html

到了这里,关于基于 ARM SoC 的视频传输系统设计(10-01-01)引言的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 基于阿里云物联网平台设计的实时图传系统_采用MQTT协议传输图像

    当前基于MQTT协议设计了一个实时图传系统,通过这个项目来演示,两个MQTT设备如何互相订阅,进行消息流转。 在阿里云服务器上创建2个设备,分为为设备A和设备B;设备A负责采集本地摄像头画面上传,设备B负责接收设备A上传的数据然后解析显示出来。在阿里云服务器上需

    2024年01月21日
    浏览(40)
  • 光刻机基于dsp+fpga+ad+endac光纤传输的高速数据采集与伺服接口系统设计(一)

    光刻机双工件台运动控制系统,控制任务相当复杂,要求极高的速度和精度,且设 备体积庞大,各传感器执行器空间距离较远,线缆众多现场电磁干扰严重。 为满足控制系统要求,本文利用 DSP 的高速浮点运算能力、 FPGA 强大的并行处理 能力及光纤通信传输距离远、抗干扰

    2024年02月03日
    浏览(43)
  • 在直播系统中使用SRT协议传输视频

    目录 1、简述 2、NDI、RTSP协议的优缺点 3、SRT协议简介 4、SRT协议链接地址URL格式

    2024年02月02日
    浏览(24)
  • 个人项目----基于TCP的文件传输系统

    目录 主体功能 程序使用方法 1、运行客户端​编辑 2、运行服务器 3、登录 4、注册 5、程序命令解析 6、传输文件(上传--cp、下载--lcp) 具体代码 服务器 services.c(main 函数) sqlite.c(数据库相关模块) demo.c(服务器与客户端交互相关模块) demo.h sqlite.h makefile 客户端 client.c(main函

    2024年02月11日
    浏览(28)
  • 将Windows系统上的音频、视频通过iTunes传输到iPhone上

    这个地方需要下载安装版的iTunes 下载地址: https://www.apple.com/itunes/download/win64 不要从Windows的APP Store中下载iTunes,不好使。 安装完成后,如果是导入一个文件夹中的资料,则点击 【文件】》【将文件夹添加到资料库】 在弹出的窗口中找到对应的音频文件,选中文件夹,会导

    2024年02月15日
    浏览(25)
  • Android 10.0 系统开启禁用adb push和adb pull传输文件功能

      在进行10.0的系统开发中,在一些产品中由于一些开发的功能比较重要,防止技术点外泄在出货产品中,禁用 adb pull 和adb push等命令 来获取系统system下的jar 和apk 等文件,所以需要禁用这些命令 看了下系统源码中的adb的代码,adb的源码在system/core/adb下面, (1)adb的本质,就

    2024年02月10日
    浏览(62)
  • VB点对点聊天文件传输系统设计与实现

    本程序使用VB语言编程,使用WinSock控件完成的点对点(P2P)聊天和文件传输程序。可以实现两台机器间互发消息,并且有聊天和文件传输记录,安全可靠。 p2p WinSock,聊天和文件传输程序,VB P2P是一种技术,但更多的是一种思想,有着改变整个互联网基础的潜能的思想。

    2024年02月13日
    浏览(31)
  • 通过Linux终端搭建基于HTTP隧道的文件传输系统

    嘿,Linux小侠们,准备好挑战一项酷炫的任务了吗?今天我们要一起通过Linux终端搭建一个基于HTTP隧道的文件传输系统,让我们的文件在网络的海洋中畅游无阻! 在开始之前,让我们先来想象一下这个场景:你正在家中悠闲地喝着咖啡,突然收到一个紧急任务,需要将一台位

    2024年02月20日
    浏览(33)
  • java基于物联网的医疗数据采集传输系统(ssm)

    本系统(程序+源码)带文档lw万字以上  文末可领取本课题的JAVA源码参考 选题背景: 随着科技的不断进步,物联网(Internet of Things, IoT)技术已经渗透到医疗健康领域,成为推动现代医疗服务发展的重要力量。传统的医疗数据采集方式多依赖于手工记录和定期体检,这种方

    2024年03月15日
    浏览(34)
  • 基于OFDM的水下图像传输通信系统matlab仿真

    目录 1.算法运行效果图预览 2.算法运行软件版本 3.部分核心程序 4.算法理论概述 5.算法完整程序工程 matlab2022a        基于OFDM的水下图像传输通信系统是一种用于在水下环境中传输图像数据的通信系统。它采用了OFDM(Orthogonal Frequency Division Multiplexing)技术,这种技术在水下

    2024年02月10日
    浏览(27)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包