ZYNQ AC7020C的“点LED”实验

这篇具有很好参考价值的文章主要介绍了ZYNQ AC7020C的“点LED”实验。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、创建 Vivado 工程
1、启动 Vivado
2、在 Vivado 开发环境里点击“Create New Project”,创建一个新的工程
ZYNQ AC7020C的“点LED”实验,fpga开发
3、弹出一个建立新工程的向导,点击“Next”
ZYNQ AC7020C的“点LED”实验,fpga开发
4、在弹出的对话框中输入工程名和工程存放的目录。需要注意工程路径“Project location”不能有中文、空格,路径名称也不能太长。此处工程名取为project_1led。
ZYNQ AC7020C的“点LED”实验,fpga开发
5、在工程类型中选择“RTL Project”
ZYNQ AC7020C的“点LED”实验,fpga开发
6、目标语言“Target language”选择“Verilog”,虽然选择 Verilog,但 VHDL 也可以使用,支持多语言混合编程。
ZYNQ AC7020C的“点LED”实验,fpga开发
7、点击“Next”,不添加任何文件
ZYNQ AC7020C的“点LED”实验,fpga开发
8、在“Default Part”选项中,器件家族“Family”选择“Zynq-7000”,封装类型“Package”选择“clg400”,减少我们选择范围。AC7010 在下拉列表中选择“xc7z010clg400-1”,AC7020在下拉列表中选择“xc7z020clg400-2”,“-2”表示速率等级,数字越大,性能越好,速率高的芯片向下兼容速率低的芯片。
ZYNQ AC7020C的“点LED”实验,fpga开发
9、点击“Finish”就可以完成以后名为“led”工程的创建。
ZYNQ AC7020C的“点LED”实验,fpga开发
二、创建Verilog HDL 文件点亮 LED
1、点击 Project Manager 下的 Add Sources 图标(快捷键 Alt+A)
ZYNQ AC7020C的“点LED”实验,fpga开发
2、选择添加或创建设计源文件“Add or create design sources”,点击“Next”
ZYNQ AC7020C的“点LED”实验,fpga开发
3、选择创建文件“Create File”
ZYNQ AC7020C的“点LED”实验,fpga开发
4、文件名“File name”设置为“led”,点击“OK”
ZYNQ AC7020C的“点LED”实验,fpga开发
5、点击“Finish”,完成“led.v”文件添加
ZYNQ AC7020C的“点LED”实验,fpga开发
6、在弹出的模块定义“Define Module”,中可以指定“led.v”文件的模块名称“Module name”,这里默认不变为“led”,还可以指定一些端口,此处暂时不指定,点击“OK”。
ZYNQ AC7020C的“点LED”实验,fpga开发
7、在弹出的对话框中选择“Yes”
ZYNQ AC7020C的“点LED”实验,fpga开发
8、双击“led.v”可以打开文件,然后编辑
ZYNQ AC7020C的“点LED”实验,fpga开发
9、编写“led.v”,这里定义了一个 32 位的寄存器 timer, 用于循环计数 0~49999999(1 秒钟), 计数到 49999999(1 秒)的时候, 寄存器 timer 变为 0,并翻转四个 LED。这样原来 LED 是灭的话,就会点亮,如果原来 LED 为亮的话,就会熄灭。代码如下:

`timescale 1ns / 1ps
module led(
input sys_clk, output reg led
    );
    reg[31:0] timer_cnt;
    always@(posedge sys_clk)
        begin
        if(timer_cnt >= 32'd49_999_999)
        begin
        led <= ~led;
        timer_cnt <= 32'd0;
        end
    else
        begin
        led <= led;
        timer_cnt <= timer_cnt + 32'd1;
        end
    end
endmodule

10、编写好代码后保存,点击菜单“File -> Save All Files”
ZYNQ AC7020C的“点LED”实验,fpga开发
三、添加约束管脚
Vivado 使用的约束文件格式为 xdc 文件,xdc 文件里主要是完成管脚的约束,时钟的约束, 以及组的约束。这里我们需要对 led.v 程序中的输入输出端口分配到 FPGA 的真实管脚上。
1、点击“Open Elaborated Design”
ZYNQ AC7020C的“点LED”实验,fpga开发
2、点击OK
ZYNQ AC7020C的“点LED”实验,fpga开发
3、在菜单中选择“Window -> I/O Ports”
ZYNQ AC7020C的“点LED”实验,fpga开发
ZYNQ AC7020C的“点LED”实验,fpga开发

4、给 LED 和时钟分配管脚、电平标准,完成后点击保存图标
ZYNQ AC7020C的“点LED”实验,fpga开发
5、弹出窗口,要求保存约束文件,文件名我们填写“led”,文件类型默认“XDC”,点击“OK”
ZYNQ AC7020C的“点LED”实验,fpga开发
6、打开刚才生成的“led.xdc”文件,我们可以看到是一个 TCL 脚本,如果我们了解这些语法,完全可以通过自己编写 led.xdc 文件的方式来约束管脚
最基本的 XDC 编写的语法,普通 IO 口只需约束引脚号和电压,管脚约束如下:
set_property PACKAGE_PIN “引脚编号” [get_ports “端口名称”]
电平信号的约束如下:
set_property IOSTANDARD “电平标准” [get_ports “端口名称”]
这里需要注意文字的大小写,端口名称是数组的话用{ }刮起来,端口名称必须和源代码中的名字一致,且端口名字不能和关键字一样。
电平标准中“LVCMOS33”后面的数字指 FPGA 的 BANK 电压,LED 所在 BANK 电压为 3.3 伏,所以电平标准为“LVCMOS33”。Vivado 默认要求为所有 IO 分配正确的电平标准和管脚编号。
四、添加时序约束
一个 FPGA 设计除了管脚分配以外,还有一个重要的约束,那就是时序约束,这里通过向导方式演示如果进行一个时序约束。
1、点击“Run Synthesis”开始综合
ZYNQ AC7020C的“点LED”实验,fpga开发
2、弹出对话框点击“OK”
ZYNQ AC7020C的“点LED”实验,fpga开发
3、综合完成以后点击“Cancel”
4、点击“Constraints Wizard”
ZYNQ AC7020C的“点LED”实验,fpga开发
5、在弹出的窗口中点击“Next”
ZYNQ AC7020C的“点LED”实验,fpga开发
6、时序约束向导分析出设计中的时钟,这里把“sys_clk”频率设置为 50Mhz,然后点击“Skipto Finish”结束时序约束向导。
ZYNQ AC7020C的“点LED”实验,fpga开发
7、弹出的窗口中点击“OK”
ZYNQ AC7020C的“点LED”实验,fpga开发
8、点击“Finish”,这个时候 led.xdc 文件已经更新,重新加载文件即可。

五、生成 BIT 文件
1、编译的过程可以细分为综合、布局布线、生成 bit 文件等,这里我们直接点击“Generate Bitstream”,直接生成 bit 文件。
ZYNQ AC7020C的“点LED”实验,fpga开发2、在弹出的对话框中可以选择任务数量,这里和 CPU 核心数有关,一般数字越大,编译越快,点击OK
ZYNQ AC7020C的“点LED”实验,fpga开发
3、这个时候开始编译,可以看到右上角有个状态信息,在编译过程中可能会被杀毒软件、电脑管家拦截运行,导致无法编译或很长时间没有编译成功。
ZYNQ AC7020C的“点LED”实验,fpga开发
4、编译中没有任何错误,编译完成,弹出一个对话框让我们选择后续操作,这里选项“Open Hardware Manger”,点击“OK”,当然,也可以选择“Cancel”,然后在左边导航栏选择“Open Hardware Manger”
ZYNQ AC7020C的“点LED”实验,fpga开发
六、下载调试
1、连接好开发板的 JTAG 接口,给开发板上电
ZYNQ AC7020C的“点LED”实验,fpga开发

2、在“HARDWARE MANAGER”界面点击“Auto Connect”,自动连接设备
3、可以看到 JTAG 扫描到 arm 和 FPGA 内核(图中为 xc7z015,AC7010 开发板实际为 xc7z010_1,AC7020 开发板实际为 xc7z020_1),还有一个 XADC,可以检测系统电压、温度
ZYNQ AC7020C的“点LED”实验,fpga开发
4、选择 xc7z010_1 或 xc7z020_1,右键“Program Device…”
ZYNQ AC7020C的“点LED”实验,fpga开发
5、点击program,等待下载
6、下载完成以后,我们可以看到 4 颗 LED 开始每秒变化一次。到此为止 Vivado 简单流程体验完成。后面的章节会介绍如果把程序烧录到 Flash,需要 PS 系统的配合才能完成,只有 PL的工程不能直接烧写 Flash。
ZYNQ AC7020C的“点LED”实验,fpga开发文章来源地址https://www.toymoban.com/news/detail-830491.html

到了这里,关于ZYNQ AC7020C的“点LED”实验的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA高端项目:Xilinx Zynq7020 系列FPGA纯verilog图像缩放工程解决方案 提供3套工程源码和技术支持

    FPGA高端项目:Xilinx Zynq7020 系列FPGA纯verilog图像缩放工程解决方案 提供3套工程源码和技术支持 没玩过图像缩放都不好意思说自己玩儿过FPGA,这是CSDN某大佬说过的一句话,鄙人深信不疑。。。目前市面上主流的FPGA图像缩放方案如下: 1:Xilinx的HLS方案,该方案简单,易于实现

    2024年01月23日
    浏览(40)
  • 【资料分享】Xilinx Zynq-7010/7020工业核心板规格书(双核ARM Cortex-A9 + FPGA,主频766MHz)

    创龙科技SOM-TLZ7x是一款基于Xilinx Zynq-7000系列XC7Z010/XC7Z020高性能低功耗处理器设计的异构多核SoC工业核心板,处理器集成PS端双核ARM Cortex-A9 + PL端Artix-7架构28nm可编程逻辑资源,通过工业级B2B连接器引出千兆网口、USB、CAN、UART等通信接口,可通过PS端加载PL端程序,且PS端和PL端

    2024年02月12日
    浏览(34)
  • 【资料分享】Xilinx Zynq-7010/7020工业评估板规格书(双核ARM Cortex-A9 + FPGA,主频766MHz)

    创龙科技TLZ7x-EasyEVM是一款基于Xilinx Zynq-7000系列XC7Z010/XC7Z020高性能低功耗处理器设计的异构多核SoC评估板,处理器集成PS端双核ARM Cortex-A9 + PL端Artix-7架构28nm可编程逻辑资源,评估板由核心板和评估底板组成。核心板经过专业的PCB Layout和高低温测试验证,稳定可靠,可满足各种

    2024年02月12日
    浏览(31)
  • FPGA在校学习记录系列---实验4不同状态的LED+开发板(Verilog HDL)

    此系列记录FPGA在学校的学习过程。 FPGA系列 需要用到的软硬件: 软件:Quartus II 15.0 (64-bit) 硬件: 5CEBA4F23C7芯片 链接: FPGA在校学习记录系列—新建一个FPGA工程编写程序并仿真(Verilog HDL) 创建的工程名字为:LED (这次不用仿真,直接用开发板验证) 编译文件 按键资源:

    2024年04月09日
    浏览(37)
  • FPGA/Verilog HDL/AC620零基础入门学习——第一个项目按键控制LED

    最近要考试了,所以我赶紧补习FPGA,我们用的是小梅哥的AC620开发板,软件是Quartus。推荐看这个视频教程:零基础轻松学习FPGA,小梅哥FPGA设计思想与验证方法视频教程 用按键控制LED灯的亮灭就是一个二选一多路器,两个IO,a、b,可以是高电平,也可以是低电平。 输入按键

    2024年02月05日
    浏览(43)
  • FPGAC程序固化——ZYNQ7020

            刚开始学习FPGA的小伙伴们,想必都会遇见到一个问题就是程序固化。在FPGA开发过程中,我们不仅需要编写代码实现所需功能,还需要将这些代码固定在FPGA芯片上,以确保其稳定运行并符合项目需求。尽管此过程听起来可能有些复杂,但它却是使得FPGA成为如此强大工

    2024年02月21日
    浏览(24)
  • ZYNQ之FPGA 片内ROM读写测试实验

    FPGA本身是SRAM架构的,断电之后程序就会消失,那么如何利用FPGA实现一个ROM呢,我们可以利用FPGA内部的RAM资源实现ROM,但这不是真正意义上的ROM,而是每次上电都会把初始化的值先写入RAM。Vivado软件中提供了ROM的IP核 , 我们只需通过IP核例化一个ROM,根据ROM的读时序来读取R

    2024年02月07日
    浏览(32)
  • AD9361+zedboard(ZYNQ7020)的SDK工程(上)

    1.准备工具 vivado2018.3 HDL源码:https://wiki.analog.com/resources/fpga/docs/releases no_os:https://github.com/analogdevicesinc/no-OS 注意:HDL源码下载的版本要与vivado一致,我这里是2018.3 HDL版本选择 2.构建vivado工程 2.1编译源文件 解压下载的HDL文件的压缩包 进入该文件夹C:AD9361hdl-hdl_2019_r1project

    2024年02月13日
    浏览(32)
  • Zynq7020 使用 Video Processing Subsystem 实现图像缩放

    没玩过图像缩放都不好意思说自己玩儿过FPGA,这是CSDN某大佬说过的一句话,鄙人深信不疑。。。 目前市面上主流的FPGA图像缩放方案如下:1:Xilinx的HLS方案,该方案简单,易于实现,但只能用于Xilinx自家的FPGA;2:非纯Verilog方案,大部分代码使用Verilog实现,但中间的fifo或

    2024年01月16日
    浏览(31)
  • petalinux-2021.1在zynq7020的flash上启动linux

    一、 前言 在电脑上安装虚拟机或者找一个电脑安装linux,用于编译petalinux工程; 安装与vivado对应的petalinux-tool; 版本信息: 1)linux:ubuntu16.04.06; 2)vivado:v2021.1; 3)petalinux:v2021.1; 4)petalinux工程参考bsp:xilinx-zc702-v2021.1-final.bsp; vivado可以在linux中,也可在windows中; 离

    2024年02月07日
    浏览(33)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包