自动售货机仿真操作设计verilog代码AX301开发板Quartus

这篇具有很好参考价值的文章主要介绍了自动售货机仿真操作设计verilog代码AX301开发板Quartus。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

名称:自动售货机仿真操作设计verilog代码AX301开发板Quartus

软件:Quartus

语言:Verilog

代码功能:

具有单价0.5、1.0、1.5、2.0元的4种商品

可以通过按键选择商品

可以投币

投币后按键确认购买

购买成功后找零,若投币不足则直接退钱,不出商品

找零时间3秒

本代码已在AX301开发板验证,开发板如下,其他开发板可以修改管脚适配:

自动售货机仿真操作设计verilog代码AX301开发板Quartus,fpga开发

Testbench

自动售货机仿真操作设计verilog代码AX301开发板Quartus,fpga开发

整体仿真图

自动售货机仿真操作设计verilog代码AX301开发板Quartus,fpga开发

消抖仿真图

自动售货机仿真操作设计verilog代码AX301开发板Quartus,fpga开发

显示模块仿真图

自动售货机仿真操作设计verilog代码AX301开发板Quartus,fpga开发

控制模块仿真图

自动售货机仿真操作设计verilog代码AX301开发板Quartus,fpga开发

部分代码展示:

//Vending_machine
module auto_sell(
input clk_50M,//50M
//按键 低电平有效
input Selection_of_05,//选商品
//按键 低电平有效
input throw_into_10_down,//投1元
input throw_into_05_down,//投0.5元
//按键 低电平有效
input confirm_button,//确认购买,低电平有效
output  outgo_done,//出货指示灯
output  [7:0] duanxuan,//数码房段选显示
output  [5:0]weixuan //数码房位选显示
);
//所有按键消抖/
wire Selection_of_05_out;
wire throw_into_10_down_out;
wire throw_into_05_down_out;
wire confirm_button_out;
ax_debounce  select_05 
(
    .  clk(clk_50M), 
    .  rst(1'b0), 
    .  button_in(Selection_of_05),
    .  button_posedge(),
    .  button_negedge(Selection_of_05_out),
    .  button_out()
);
ax_debounce  throw_into_10 
(
    .  clk(clk_50M), 
    .  rst(1'b0), 
    .  button_in(throw_into_10_down),
    .  button_posedge(),
    .  button_negedge(throw_into_10_down_out),
    .  button_out()
);
ax_debounce  throw_into_05 
(
    .  clk(clk_50M), 
    .  rst(1'b0), 
    .  button_in(throw_into_05_down),
    .  button_posedge(),
    .  button_negedge(throw_into_05_down_out),
    .  button_out()
);
ax_debounce  confirm_btt 
(
    .  clk(clk_50M), 
    .  rst(1'b0), 
    .  button_in(confirm_button),
    .  button_posedge(),
    .  button_negedge(confirm_button_out),
    .  button_out()
);
wire [7:0] total_throw_inmoney;//投入总钱币
wire [7:0] total_need_money;//选中商品价钱
wire [7:0] total_refund_money;//找零金额
state_control state_control_u(
. clk_50M(clk_50M),
. Selection_of_05_out(Selection_of_05_out),
. throw_into_10_down_out(throw_into_10_down_out),
. throw_into_05_down_out(throw_into_05_down_out),
. confirm_button_out(confirm_button_out),
. outgo_done(outgo_done),
. total_throw_inmoney_out(total_throw_inmoney),//投入总钱币
. total_need_money_out(total_need_money),//选中商品价钱
. total_refund_money_out(total_refund_money)//找零金额
);
///
display display_U(
. clk_50M(clk_50M),//50M
. total_throw_inmoney(total_throw_inmoney),//投入总钱币
. total_need_money(total_need_money),//选中商品价钱
. total_refund_money(total_refund_money),//找零金额
. duanxuan(duanxuan),//数码房段选显示
. weixuan(weixuan) //数码房位选显示
);
endmodule
源代码

 扫描文章末尾的公众号二维码文章来源地址https://www.toymoban.com/news/detail-830857.html

到了这里,关于自动售货机仿真操作设计verilog代码AX301开发板Quartus的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 基于单片机的自动售货机系统设计

    欢迎大家点赞、收藏、关注、评论啦 ,由于篇幅有限,只展示了部分核心代码。 技术交流认准下方 CSDN 官方提供的联系方式   本文设计基于单片机的自动售货机系统,分为硬件电路设计与软件设计。硬件电路设计,本系统由ST(意法半导体)公司设计和生产的STM32F103C8T6单片

    2024年02月03日
    浏览(34)
  • 自动售货机控制系统的FPGA设计与实现

            采用VHDL语言设计一个自动售货机控制系统,要求能在MaxPlus Ⅱ软件平台进行仿真模拟,技术指标如下: 1)有2元、3元、8元商品;有1元、5元、10元钱币; 2)当投入的总币值大于顾客购买的商品单价时,机器提供商品并将余币退出,回到初始状态;若投入的总币值小

    2024年02月06日
    浏览(33)
  • stm32毕设 自动售货机设计与实现(源码+硬件+论文)

    🔥 这两年开始毕业设计和毕业答辩的要求和难度不断提升,传统的毕设题目缺少创新和亮点,往往达不到毕业答辩的要求,这两年不断有学弟学妹告诉学长自己做的项目系统达不到老师的要求。 为了大家能够顺利以及最少的精力通过毕设,学长分享优质毕业设计项目,今天

    2024年02月08日
    浏览(31)
  • python自动售货机

    分享python实现自动售货一个小作业 输入2进行购买商品,输入商品id和数量,可以选择不同商品,最后进行结账操作。 可以有两种查询方式,全部查询和按时间条件查询 具体操作如下: 全部查询: 时间条件查询: 就按4直接退出23333 其实就是参考路边的自动售货机贩卖饮料啥的,

    2024年02月11日
    浏览(23)
  • 【Python实训】饮品自动售货机

    这段代码定义了三个函数:show_goods()、total()和main()。show_goods()函数用于展示饮品信息,total()函数用于计算总额,main()函数用于控制整个饮品自动售货机的操作流程。 运行程序后,会首先调用show_goods()函数展示饮品信息,然后用户可以根据展示的信息选择饮品和数量,输入

    2024年02月05日
    浏览(33)
  • 基于FPGA的自动售货机

    目录 一、项目功能 二、设计思路 按键实现: 数码管 蜂鸣器 LED灯 三、流程图 四、代码实现 1、按键消抖  key_debounce.v  2、LED状态选择  led_drive.v 3、蜂鸣器模块   beep_drive.v 4、数码管位选信号选择  sel_drive.v 5、数码管段选信号选择     seg_drive.v 6、售货机按键选择处理

    2024年02月06日
    浏览(23)
  • 【FPGA入门八】自动售货机

    功能完整描述: KEY4:开关机按键,复位时,默认是关机状态,数码管和LED灯均不亮,同时蜂鸣器响,其余按键按下无效。 KEY3:在开机状态时,投币1元 KEY2:在开机状态时,投币为0.5元 KEY1:当投币但少于货物的价格时,取消订单,数码管显示为0.0,同时LED灯实现跑马灯2s然

    2024年02月08日
    浏览(27)
  • FPGA实现简易的自动售货机模型

    环境: 1、Quartus18.1 2、vscode 3、板子型号:EP4CE6F17C8N 要求: 自动售货机功能: 1.两个按键用于投币,1个1元,1个5角 2.一个按键用于商品选择,农夫山泉2块,干脆面1块5 3.找零 设计: 我们选择使用四个按键,分别用于投币一元、五角、商品选择、结算四个功能。用六位数码管

    2024年02月09日
    浏览(28)
  • 自动售货机销售数据分析与应用

    本书不仅适用于零基础的读者自学,还适用于教师教学,为了帮助读者更加高效地掌握本书的内容,本书提供了以下10项附加价值: (1)建模平台:提供一站式大数据挖掘建模平台,免配置,包含大量案例工程,边练边学,告别纸上谈兵 (2)视频讲解:提供不少于600分钟

    2024年02月08日
    浏览(32)
  • 【FPGA】基于状态机实现自动售货机模拟

    此自动售货机模拟基于EP4CE6F17C8开发板实现,用按键,led灯,数码管表示各个输入输出 功能: 此自动售货机可以选择A(三元)与B(五元)商品且选择商品数量,一次可以投1块与5块钱。当投币数量满足商品价格时则能出货,且退款额外支付,在任意阶段皆可以取消支付并退

    2024年02月16日
    浏览(32)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包