静态时序分析:SDC约束命令set_input_delay详解

这篇具有很好参考价值的文章主要介绍了静态时序分析:SDC约束命令set_input_delay详解。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

相关阅读

静态时序分析https://blog.csdn.net/weixin_45791458/category_12567571.html?spm=1001.2014.3001.5482


        本章将讨论使用set_input_delay命令对输入端口的约束。首先需要说明的是,在进行静态时序分析时,任何一条时序路径都需要有约束,约束指的是时序路径的起点(发射触发器)和终点(捕获触发器)都有时钟信号的控制。但是对于起点是输入端口、终点是输出端口的时序路径而言,没有显然的发射触发器、捕获触发器,因此需要使用set_input_delay命令进行约束。

        该指令的BNF范式(有关BNF范式,可以参考以往文章)为:

set_input_delay
    delay_value port_pin_list
    [-reference_pin pin_port_name] [-clock clock_name] [-clock_fall] [-level_sensitive]
    [-network_latency_included] [-source_latency_included]
    [-rise] [-fall]
    [-max] [-min] [-add_delay]
    //注:该命令的port_pin_list参数一定要放在delay_value参数后

指定延迟值

        延迟值指的是输入端口的数据相对参考时钟的延迟大小,这个参考时钟触发了一个假象的外部发射触发器,模拟了从发射触发器有效沿到达发射触发器到数据到达输入端口的组合逻辑延迟,提供了分析输入端口到捕获触发器时序路径的依据。

指定端口、引脚列表

        port_pin_list指定了添加输入延迟的端口和引脚,如果指定的对象是引脚,则该引脚所属的单元会被设置为size only,以便综合时进行驱动能力优化。

指定参考时钟

        -clock选项指定了参考时钟,它可以是一个虚拟时钟(无源对象),也可以是一个有源对象的时钟。如果不指定-clock选项,则表示输入路径为纯组合逻辑的一部分。在现在的同步设计中,一般都需要指定-clock选项。

简单使用

        在理解了上面三项后,便可以使用简单的set_input_delay命令了,以图1所示的简单D触发器为例。

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图1 D触发器的例子

        首先使用create_clock命令以clk端口为源对象创建一个周期为10的时钟。

create_clock -period 10 [get_port clk]

        我们可以首先看一下端口d到D触发器的D引脚的时序报告,如图2所示。

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图2 建立时间时序报告 

        可以从报告中看到, 由于输入端口d没有被时钟约束,因此无法进行时序路径的建立时间分析,报告的最后也显示了(Path is unconstrained)。

        接着我们使用set_input_delay命令在输入端口d上添加一个输入延迟,参考时钟为clk,此时的时序报告如图3所示。

set_input_delay 0.5 -clock [get_clock clk] [get_port d]

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图3 建立时间时序报告

        可以从时序报告中看出,d端口的input external delay此时拥有延迟值0.5。可以使用report_port -verbose命令报告端口延迟,如图4所示。

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图4 输入端口延迟报告

指定时钟下降沿

        -clock_fall选项指定了假象的外部发射触发器由下降沿触发。在默认情况下,假象的外部发射触发器由上升沿触发,如图2、图3中的(rise edge)显示的那样。

        下面我们在输入端口c上添加一个输入延迟,参考时钟为clk,指定时钟下降沿,如图5所示,此时的时序报告如图6所示。

set_input_delay 0.6 -clock_fall -clock [get_clock clk] [get_port c]

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图5 输入端口延迟报告

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图6 建立时间时序报告

        可以从图6中看出,输入端口c此时受到时钟clk的下降沿约束。

指定参考端口、引脚

        -reference_pin选项可以指定延迟的参考引脚,从图3和图6中可以看出,约束端口的参考时钟的clock network latency为0(这是因为我们还没有给参考时钟设置任何延迟),但如果使用了这个选项则clock network latency会包括参考时钟传播到参考引脚的延迟:如果参考时钟是传播时钟,则是源延迟加时钟传播到参考引脚的网络延迟;如果参考时钟是理想时钟,则是源延迟加指定的网络延迟,下面进行举例说明。

        我们给输入端口d设置一个参考端口clk,参考时钟依然为clk,并给时钟clk添加源延迟,如图7所示,注意其中的输入端口d关联的两个clk对象,其中一个是时钟clk,另一个是端口clk。此时的时序报告如图8所示。

set_input_delay 0.7 -reference_pin [get_port clk] -clock clk [get_port d]
set_clock_latency 0.1 -source [get_clock clk]

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图7 输入端口延迟报告

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图8 建立时间时序报告

         可以看到,此时的clock network delay包含了时钟clk的源延迟。最后需要注意的是,-reference_pin选项不能和即将谈到的-network_latency_included和-source_latency_included选项一起使用。

包含源、网络延迟

        默认情况下,在进行端口的时序分析时,参考时钟的延迟会被考虑进来。对于理想时钟,延迟包括了源延迟和网络延迟;对于传播时钟,延迟只包括了源延迟,下面对此举例说明。

        首先使用下面的命令,设置时钟clk的网络延迟为0.05,目前它拥有1源延迟和0.05网络延迟。

set_clock_latency 0.05 [get_clock clk]

         然后我们查看输入端口c的时序报告,如图9所示。

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图9 建立时间时序报告

        从时序报告中可以看出,clock network delay包含了时钟clk的源延迟1+网络延迟0.05。下面我们使用-network_latency_included选项表示时钟的网络延迟已经被包含在delay_value中了,则STA时就不会重复计算网络延迟,如图10、图11所示。

set_input_delay 0.6 -network_latency_included -clock [get_clock clk] [get_port c]

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图10 输入端口延迟报告

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图11 建立时间时序报告

        图11中展示的时序报告显示clock network latency只包含了时钟clk的源延迟,而没有包含网络延迟。

        注意,-network_latency_included选项只能对理想时钟有效,因为默认情况下,传播时钟的clock network latency本就只包含源延迟(除非使用-reference_pin,但-reference_pin不能与这两个选项一起使用)。

        -source_latency_included选项与-network_latency_included选项的用法相似,不同的是,其对理想时钟和传播时钟都有用(很好理解,因为在任何情况下,源延迟是两类时钟共有的)

指定电平敏感

        -level_sensitive选项指定使用假象的外部发射锁存器,这允许针对锁存器时序进行特殊的分析。如果不指定该选项,则默认使用假象的外部发射触发器。

指定上升、下降沿

        -rise选项用于指定延迟值作用于端口的上升沿、-fall选项用于指定延迟值作用于端口的下降沿。如果这两个选项都没有指定,延迟同时作用于时钟的上升沿和下降沿(相当于它们同时指定)。

        下面的命令改变输入端口c的上升沿输入延迟为0.8,如图12所示。此时的时序报告如图13所示。

set_input_delay 0.8 -clock_fall -rise -clock [get_clock clk] [get_port c]

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图12 输入端口延迟报告

 

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

 图13 建立时间时序报告

指定最大、最小条件

        -max选项用于指定延迟值作用于最大条件(建立时间分析),-max选项用于指定延迟值作用于最小条件(保持时间分析)。如果这两个选项都没有指定,延迟同时作用于最大条件和最小条件(相当于它们同时指定)。

·        下面的命令改变输入端口c的最大上升沿输入延迟为0.9,最小上升沿输入延迟为0.5,如图14所示。此时的建立、保持时序报告如图15、图16所示。

set_input_delay 0.9 -max -clock_fall -rise -clock [get_clock clk] [get_port c]
set_input_delay 0.5 -min -clock_fall -rise -clock [get_clock clk] [get_port c]

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图14 输入端口延迟报告

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

 图15 建立时间时序报告

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

 图16 保持时间时序报告

指定不覆盖添加延迟

        -add_delay选项用于以不覆盖和自动推断的形式添加延迟,下面将举例说明。

        假设如图17所示,已经给输入端口c设置了最小条件的输入延迟,现在如果再添加一个参考时钟为clk1的最小条件的上升沿的输入延迟,则会出现图16所示的覆盖情况。

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图17 输入端口延迟报告

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图18 延迟覆盖情况

        如果继续指定参考时钟为clk1的最小条件的下降沿的输入延迟,则参考时钟为clk的输入延迟会被全部覆盖,如图19所示。

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图19 延迟覆盖情况

        这不仅仅出现在不同时钟间,就连相同时钟的不同触发沿(是否指定clock_fall选项,也会出现覆盖)。

        如果使用-add_delay选项,则图18、图19的覆盖情况消失,如图20、图21所示。

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图20 延迟不覆盖情况

静态时序分析:SDC约束命令set_input_delay详解,静态时序分析,静态时序分析,数字IC,STA

图21 延迟不覆盖情况 

        -add_delay选项还有一个作用是可以自动推断最差的延迟并添加。比如对于图21,如果指定参考时钟为clk的最小条件的输入延迟为0.6并指定-add_delay,则会被忽视,因为0.6大于0.5,这是一个更宽松的最小条件,这是不使用-add_delay选项命令所不具有的功能。文章来源地址https://www.toymoban.com/news/detail-832130.html

到了这里,关于静态时序分析:SDC约束命令set_input_delay详解的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 静态时序分析与时序约束

    理性的时钟模型是一个占空比为50%且周期固定的方波:  实际电路中输入给FPGA的晶振时钟信号是正弦波: Clock Jitter,时钟抖动,相对于理想时钟沿,实际时钟存在不随时钟存在积累的、时而超前、时而滞后的偏移。 Clock Skew:时钟偏差,同一个时钟域内的时钟信号到达数字电

    2024年02月12日
    浏览(29)
  • 对set_input_delay和set_output_delay的理解

    前言 在FPGA设计中,端口约束分为两种,一种是管脚约束,及时把顶层模块的端口 port 与板卡上的物理引脚进行映射。另一种就是IO端口延时约束,目的是告诉时序分析工具信号在器件之外的延时,让它能够在一个完整的路径上进行分析,此外还要注意端口延时约束并不具有让

    2024年02月16日
    浏览(34)
  • FPGA时序约束经历之输出延时约束(set_output_delay)

    近期在进行emmc数据读写程序调试时,逼迫自己从一个时序小白跨进了时序约束的大门,这里记录一下供大家学习参考。 emmc hs200模式下,允许最高时钟频率为200M。其中emmc和FPGA之间的引脚有单向EMMC_CLK,双向CMD和DATA[7:0]。根据emmc手册,要求建立时间为1.4ns,保持时间为0.8ns。

    2023年04月08日
    浏览(45)
  • Vivado时序约束之—— set_max_delay、set_min_dealy(最大最小延迟约束)

    1. set_max_delay、set_min_delay约束的目的 最大最小延迟约束主要是为了解决异步信号之间的时序路径进行时序约束的问题。最大延迟约束(set_max_delay)将默认覆盖建立时间分析中的最大路径延迟;最小延迟约束(set_min_delay)将默认覆盖保持时间分析中的最小路径延迟。所谓的最

    2023年04月09日
    浏览(31)
  • 时序约束——set_max_delay和set_min_delay用法

    set_max_delay:最大延迟约束 set_min_delay:最小延迟约束 约束原语: set_max_delay [-datapath_only] [-from node_list] [-to node_list] [-through node_list] set_min_delay [-from node_list] [-to node_list] [-through node_list] 一般在约束异步信号时可以使用。针对跨时钟域的异步信号,常使用set_false_path或者set_clock

    2023年04月08日
    浏览(29)
  • (FPGA时序约束)set_max_delay/set_min_delay详解

           属于 时序例外 的一种。(时序例外是:某条路径在默认参数下没有被正确地分析时序,在这种情况下,需要告知时序分析工具这条路径是一个例外,需要按照我地特殊指示来执行这条路径地时序分析。举例:一个数据被一个寄存器同步采样,但不是每个时钟沿都采,

    2024年04月26日
    浏览(24)
  • FPGA设计时序约束三、设置时钟组set_clock_groups

    目录 一、背景 二、时钟间关系 2.1 时钟关系分类 2.2 时钟关系查看 三、异步时钟组 3.1 优先级 3.2 使用格式 3.3 asynchronous和exclusive 3.4 结果示例 四、参考资料     Vivado中时序分析工具默认会分析设计中所有时钟相关的时序路径,除非时序约束中设置了时钟组或false路径。使

    2024年02月02日
    浏览(32)
  • 时序分析、时序约束和时序收敛(1)--时序分析、时序约束和时序收敛分别都是什么?

    文章目录         写在前面         磨刀不误砍柴工         什么是时序分析?         什么是时序约束?         什么是时序收敛?         时序约束与分析是FGPA开发过程中一项必备的技能,同时也是设计开发中相对较难的部分。很多人一谈起FPGA开

    2024年02月08日
    浏览(25)
  • FPGA时序分析与时序约束(四)——时序例外约束

    目录 一、时序例外约束 1.1 为什么需要时序例外约束 1.2 时序例外约束分类 二、多周期约束 2.1 多周期约束语法 2.2 同频同相时钟的多周期约束 2.3 同频异相时钟的多周期约束 2.4 慢时钟域到快时钟域的多周期约束 2.5 快时钟域到慢时钟域的多周期约束 三、虚假路径约束 四、最

    2024年01月20日
    浏览(32)
  • FPGA的时序分析、约束和收敛(1)----什么是时序分析?什么是时序约束?什么又是时序收敛?

    文章目录         写在前面         磨刀不误砍柴工         什么是时序分析?         什么是时序约束?         什么是时序收敛?         时序约束与分析是FGPA开发过程中一项必备的技能,同时也是设计开发中相对较难的部分。很多人一谈起FPGA开

    2024年02月12日
    浏览(24)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包