Vivado使用记录(未完待续)

这篇具有很好参考价值的文章主要介绍了Vivado使用记录(未完待续)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、Zynq开发流程

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

二、软件安装

三、软件使用

字体大小修改:Setting、Font

四、Vivado基本开发流程

1、创建工程

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

  • Quick Start 组包含有 Create Project(创建工程)、 Open Project(打开工程)、 Open Example Project(打开实例工程)。
  • Tasks 组包含有 Manage IP(管理 IP)、 Open Hardware Manager(打开硬件管理器)、 Xilinx Tcl Store( Tcl 脚本存储库)。
  • Learning Center 组包含有 Documentation and Tutorials(文档和教程)、 Quick Take Videos(快速上手视频)、 Release Notes Guide(发布注释向导)。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

xc 代表 Xilinx 公司, 7z 代表是 7 系列 Zynq 芯片, 015 为芯片编号,clg 代表封装工艺, 485 代表封装的引脚个数为 485 个, -2 代表芯片速度等级为2。
Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

对于软件的各个功能,只需将光标放在对应功能选项上,便会在左下角出现相应的说明。

2、添加源文件

(1)在 Flow Navigator 下,找到 Project Manager 并展开,单击 ProjectManager 下的 Add Sources 选项;或者在 Sources 面板下单击 按钮。

(2)Add or Create Constraints(添加或者创建约束);Add or Create Design Sources(添加或者创建设计源文件);Add or Create Simulation Sources(添加或者创建仿真文件);

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(3)Add Files 来选择添加本地现有的源文件,或单击Create File 按钮来创建一个新的源文件。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(4)在该对话框中选择文件的类型和输入文件的名字。OK->Finish。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(5)在Define Moudle此处添加设计源文件中的顶层端口信号。OK

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(6)添加源文件完成,led_flash.v。双击led_flash.v查看程序。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(7)补全代码

module led_flash(
    input clk,
    input rst_n,
    output reg led
    );
    reg[25:0] cnt;
    
    always @ (posedge clk or negedge rst_n)
    begin
        if(!rst_n)
            cnt <= 26'd0;
        else if (cnt <= 26'd49_999_999)
            cnt <= cnt + 1'd1;
        else
            cnt <= 26'd0;
    end
    
    always @ (posedge clk or negedge rst_n)
    begin
    if(!rst_n)
        led <= 1'd0;
    else if (cnt <= 26'd49_999_999)
        led <= ~led;
    else
        led <= led;
    end
endmodule

3、RTL描述与分析

Verilog语法错误在编写的过程中会自动检测。RTL 描述与分析功能可以对工程的 RTL结构、语法进行查看,进而可以分析并修正逻辑上的错误。

(1)在 Flow Navigator 下,找到 RTL Analysis,并展开。单击Open Elaborated Design,打开 Elaborated Design。可 以看到 Open Elaborated Design 标题变为 Elaborated Design。等运行完成。

(2)Schematic( RTL 原理图)

4、激励创建与仿真测试

5、设计综合

(1)在 Flow Navigator 窗口下,找到 Synthesis 并展开。在展开项中,单击 Run Synthesis 开始对设计进行综合。

(2)当综合完成后,出现 Synthesis Completed 对话框。可以选择 Open Synthesis Design 来打开综合后的设计进行观察,单击 OK按钮。
Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(3)如果之前打开了 Elaborated Design,会出现关闭 Elaborated Design的提示对话框。单击 Yes 按钮即可。

(4)在设计综合完成后的 Synthesis 展开项中工具会列出诸多可以在当前设计下进行的操作。注意在流程向导窗口中 Synthesis下的 Open Synthesized Design 在综合完成并打开设计后变为 Synthesized Design。出来一大堆东西。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(5)在打开 Synthesis Design 后,默认打开 Schematic 视图,如图所示。如果没有打开,在 Synthesis Design 下单击 Schematic 即可打开。显然,在综合后的原理图视图中,电路网表即采用 FPGA 器件中拥有的基本元件来搭建了,如图中的 LUT6 查找表。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(6)查看 LUT6 的内部映射关系。在原理图窗口内选择 LUT6 对应的 Cell。在 Sources 窗口下方的 Cell Properties 窗口中,选择 Truth Table,可以看到逻辑表达式 O=!I5 + !I0 & !I1 & I5 + !I0 & I1 &I2 & !I4 + !I0 & !I2 & I3 & !I4 以及真值表映射关系。
Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(7)单击 Synthesis Design 下的 Report Utilization 选项。出现 Report Utilization 对话框,如图所示。通过 Report Utilization 功能,我们可以得到工具针对当前设计的资源利用率的详细报告。单击 OK 按钮。 Vivado 开始计算该设计的资源消耗量。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(8)在 Vivado 下方打开了 Utilization-utilization_1 标签窗口。如图所示,给出了该设计的资源利用率:

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(9)在查看 Schematic 视图时,不同的阶段,得到的图的体系结构不一定相同,RTL 级别、 Synthesized Design 级别、 Implementation 级别,不一定相同。

6、添加设计约束

FPGA 设计需要在工具中指定对应的 IO 引脚位置以及输入时钟的信息,即需要用户对 IO 进行约
束以及进行时钟周期等时序约束。

(1)在综合完成后的设计上点击 Open Synthesized Design 来打开综合后的设计;在菜单栏视图处下拉 I/O Planning view 点击进入 IO 规划视图界面。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(2)选择之后会进入 IO分配界面, 该界面可用于分配 IO引脚、约束引脚电平、设置驱动强度等等,这里我们需要为设计中的输入输出信号分配 IO 引脚并约束电平。通过原理图查看信号,分配到正确的引脚。左上角保存。
Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

7、设计实现

(1)优化设计 Opt Design : 针对所选器件,对逻辑设计进行优化,以便达到最优实现;
(2)功耗优化设计 Power Opt Design (可选):从降低功耗的角度,对逻辑设计进行优化;
(3)布局设计 Place Design(必选):将设计网表在所选器件上进行布局;
(4)布局后功耗优化 Post-Place Power Opt Design (可选):在布局之后的网表基础上优化功耗;
(5)布局后物理优化 Post-Place Phys Opt Design (可选):在布局之后的网表基础上进行物理优化,主要针对时序性能;
(6)布线设计 Route Design(必选): 在布局后的设计上,进行布线;
(7)布线后物理优化 Post-Route Phys Opt Design (可选):在布线后的设计上,参考布线后的设计延时,对逻辑、布局、布线等情况再次进行优化。

具体步骤:

(1)在 Flow Navigator 下找到并展开 Implementation,如图所示。单击 Run Implementation 选项,开始执行实现过程。
Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(2)实现过程完成后会出现如图所示的 Implementation Completed 对话框。选择 Open Implemented Design,单击 OK 按钮。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(3)打开 Implemented Design 后, 调整结构图,可以清晰地看到该设计用到的器件和器件之间的连线(即布线)。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

8、生成比特流文件

设计的最后一步是将 Vivado 实现产生的网表文件转化为比特流文件,并且将比特流文件下载到 FPGA 芯片中。比特流文件用于完成对 FPGA 进行配置。

(1)在 Flow Navigator 窗口下找到 Program and Debug 选项并展开。单击 Generate Bitstream 选项,开始生成比特流文件。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(2)随后软件会出现弹窗提醒运行综合和实现,这里需要选择在本地主机上运行,同时建议将 jobs 设置为最大值,这样能最快的运行完成。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(3)随后等待 bit 文件生成完成,软件会出现如图所示的 Bitstream GenerationCompleted 对话框,选择 Open Hardware Manager 选项。单击 OK 按钮。
Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(4)软件会为我们打开 Hardware Manager 界面,该界面用于烧录和调试。如果没有打开该界面,则单击 Open Hardware Manager 打开 Hardware Manager。Vivado 右上侧出现 Hardware Manager 界面。
Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

9、硬件连接与烧录

连接USB Type-C和电源线。拨动电源开关到 ON 侧。

(1)在 Hardware Manager 界面中,单击 AutoConnect 连接设备。 如果 Auto Connect 无法连接,则需要检查开发板是否上电或驱动是否安装成功。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(2)Auto Connect 后软件会自动检测并连接器件。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(3)在 Hardware Manager 界面中找到并右键单击 xc7z015_1( 1),在浮动菜单中单击Program Device…选项。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(4)出现 Program Device 对话框。默认情况下比特流文件会自动选中,如果没有单击“…”按钮,找到并选中 led_flash.bit 文件。在 Program Device 对话框中单击 Program 按钮,开始烧录。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

(5)观察上板现象,通过观察 LED D0是否按照预期的,每秒进行一次翻转,验证设计是否正确。

10、逻辑程序固化

程序固化就是将程序烧录到非易失性存储器(如 QSPI Flash、 NAND Flash等)上,这样即使开发板断电后,程序也不会丢失。
ZYNQ-7000 系列器件,由于芯片并未向 PL 端提供控制非易失性存储器件的引脚,用户只能使用 SDK 软件通过 PS 端进行固化。
 

五、硬件调试:ILA的使用

六、Vivado Simulator仿真软件的使用

七、Zynq程序固化

合成BOOT.bin文件

1.在你工程的Zynq IP核中,使能QSPI或SD

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

2.Generate Bitstream。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

 3.导出硬件。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

4.运行SDK,保持默认,OK。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

5.生成FSBL.elf。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

6.生成BOOT.bin文件:点一下FSBL。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynqVivado使用记录(未完待续),# ZYNQ,fpga,zynq

第一种:固化到QSPI Flash。

1.确保开发板启动模式为JTAG模式,使用Type-C线连接调试接口(右侧)。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynqVivado使用记录(未完待续),# ZYNQ,fpga,zynq

2.在SDK界面,点击Xilinx,选择Program Flash。

3.把BOOT.bin和FSBL.elf放进去,点击Program。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

4.开发板断电,启动模式改为QSPI模式。完成。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

如果失败请检查IP核QSPI设置、生成的文件、操作是否有问题。

第二种:固化到SD卡。

1.准备SD卡,和读卡器。将SD插入读卡器,插入电脑USB口中。接着将SD卡格式化(其他资料请自行保存)为FAT32格式。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

2.格式化之后将生成的BOOT.bin文件拷贝到SD卡根目录上。弹出读卡器,拔下SD卡。

3.将SD卡正确安装到开发板上。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

4.开发板断电,切换到SD卡启动模式,开发板上电。

Vivado使用记录(未完待续),# ZYNQ,fpga,zynq

如果失败请检查IP核SD设置、生成的文件、操作是否有问题。

常见问题:

【Zynq】按键控制led固化实验失败及解决办法 - ACZ702开发板 - 芯路恒电子技术论坛 - Powered by Discuz! (corecourse.cn)

【Zynq】非原工程路径导致的zynq程序固化失败解决办法 - ACZ702开发板 - 芯路恒电子技术论坛 - Powered by Discuz! (corecourse.cn)文章来源地址https://www.toymoban.com/news/detail-837451.html

到了这里,关于Vivado使用记录(未完待续)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • zynq7000学习(1)——vivado环境下PL配置

    一、新建工程:       现开发板型号为xc7z100ffg900-2  选择芯片 (选择芯片7100的话,就输入xc7z100  后面的-1和-2是速度等级), 更改项目名为system 。 Create Block Design (创建模块化的设计)——设置设计名称为system。     这时会出现design窗口和source窗口、画布窗口,source中出

    2024年02月13日
    浏览(44)
  • Zynq和FPGA区别——快速认识Zynq开发

    ZYNQ包含了2个部分,双核的ARM和FPGA。根据Xilinx提供的手册,用ARM实现的模块被称为PS,而用FPGA实现的模块被称为PL。简单的说FPA更偏向于逻辑,不跑系统。 ZYNQ内部包含PS和PL两部分,ZYNQ开发有一下四种方式: ZYNQ是赛灵思公司(Xilinx)推出的新一代全可编程片上系统,它将处

    2024年02月16日
    浏览(40)
  • ZYNQ-XC7Z020 JTAG 连接不上 Vivado

    平台: 【ALINX黑金开发板,板载JTAG】 【Vivado 2017.4】 最近项目需要使用到FPGA,没接触过被迫学习,第一关就遇到了困难。 具体情况: Vivado   Auto Connect 连接不上板子。 解决: 运行安装目录下 install_digilent.exe 程序。 G:XilinxVivado2017.4dataxicomcable_driversnt64digilentinstall_di

    2024年02月21日
    浏览(34)
  • Zynq-7000系列FPGA使用 Video Processing Subsystem 实现图像缩放,提供工程源码和技术支持

    Zynq-7000系列FPGA使用 Video Processing Subsystem 实现图像缩放,提供工程源码和技术支持 没玩过图像缩放都不好意思说自己玩儿过FPGA,这是CSDN某大佬说过的一句话,鄙人深信不疑。。。 目前市面上主流的FPGA图像缩放方案如下: 1:Xilinx的HLS方案,该方案简单,易于实现,但只能用

    2024年02月05日
    浏览(36)
  • ZYNQ之FPGA 片内ROM读写测试实验

    FPGA本身是SRAM架构的,断电之后程序就会消失,那么如何利用FPGA实现一个ROM呢,我们可以利用FPGA内部的RAM资源实现ROM,但这不是真正意义上的ROM,而是每次上电都会把初始化的值先写入RAM。Vivado软件中提供了ROM的IP核 , 我们只需通过IP核例化一个ROM,根据ROM的读时序来读取R

    2024年02月07日
    浏览(32)
  • ZYNQ(FPGA)与DSP之间SRIO通信操作

    一、基于XQ6657Z35-EVM平台ZYNQ与DSP之间通信例程 1.1ZYNQ 与DSP之间SRIO通信 1.1.1例程位置 ZYNQ例程保存在资料盘中的DemoZYNQPLsrio_gen2_0_ex文件夹下。 DSP例程保存在资料盘中的DemoDSPXQ_SRIO_x4LANE_5Gbps文件夹下。 1.1.2功能简介 实现DSP与ZYNQ之间SRIO接口传输功能。 DSP与ZYNQ之间SRIO通道宽度

    2024年02月09日
    浏览(38)
  • S7---FPGA- ZYNQ7100板级原理图硬件实战

    ZYNQ7100板级系统硬件实战01_哔哩哔哩_bilibili 板卡主要由ZYNQ7100主芯片,6片DDR3,1片eMMC,2个QSPI FLASH和一些外设接口组成。ZYNQ7100 采用Xilinx公司的Zynq7000系列的芯片,Xilinx ARM + FPGA芯片型号为XC7Z100-2FFG900。 ZYNQ710 芯片可分成处理器系统部分Processor System(PS)和可编程逻辑部分Pro

    2024年03月11日
    浏览(32)
  • 从零开始学ZYNQ(FPGA)笔记二 | 认识学习内容

    目录 1. 认识FPGA 什么是FPGA FPGA的编程过程  2. 认识ARM 什么是ARM ARM与FPGA的区别 ARM与Linux 3. 认识ZYNQ ZYNQ与FPGA的区别 ZYNQ的\\\"ARM\\\"和\\\"FPGA\\\" 关于PL 关于PS 4. 学习用板载资源 5. 总结         FPGA是一种集成电路,它可以在制造后由客户或设计者根据需要配置电路功能 。FPGA的内部由可

    2024年02月08日
    浏览(39)
  • [ZYNQ]开发之MATALB与FPGA联合仿真平台设计

    本实验在之前两篇文章的基础上设计的MATLAB与FPGA联合仿真平台设计,主要用于在MATLAB于FPGA之前提供收发数据的通道。该实验的应用背景为极化码的编译码流程,极化码的编译码的仿真流程如下: [ZYNQ]开发之基于 AN108 模块的ADC 采集以太网传输_Laid-back guy的博客-CSDN博客 [ZYNQ

    2024年02月04日
    浏览(43)
  • 0—基于FPGA(ZYNQ-Z2)的多功能小车

       在电子技术与创新的课设中我选择了这个题目,在设计的时候遇到了非常多的问题,但是网上的对应资料又非常少,因此在完成设计之后,我把设计方法与代码在这篇博客中分享出来,希望能对需要的人有所帮助。 目录 1.题目 2. 题目分析: 3. 器件使用 4. 工程文件  5. 传

    2024年02月07日
    浏览(39)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包