【STA】多场景时序检查学习记录

这篇具有很好参考价值的文章主要介绍了【STA】多场景时序检查学习记录。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

单周期路径

建立时间时序检查

在时钟的有效沿到达触发器之前,数据应在一定时间内保持稳定,这段时间即触发器的建立
时间。满足建立时间要求将确保数据可靠地被捕获到触发器中。
建立时间检查是从发起触发器中时钟的第一个有效沿到捕获触发器中时钟后面最接近的那个有效沿。
common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL

触发器到触发器路径

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL
建立时间检查可以用数学公式表示:

Tlaunch + Tck2q + Tdp < Tcapture + Tcycle - Tsetup

其中Tlaunch是发起触发器UFF0的时钟树延迟,Tdp是组合逻辑数据路径的延迟,Tcycle是时钟周期,Tcapture是捕获触发器UFF1的时钟树延迟。

触发器间可能存在多条路径,每条路径均需满足建立时间的要求,这等价于在延时最高的工作条件(PVT)下,触发器间的最长时序路径需要满足建立时间的要求。因此在时序报告中建立时间用-max表示。

输入引脚到触发器路径

输入引脚数据采用虚拟时钟发出

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL

输入引脚数据采用实际时钟发出

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL

触发器到输出引脚路径

输出引脚数据采用虚拟时钟捕获

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL

输入引脚到输出引脚路径(组合逻辑路径)

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL

保持时间时序检查

触发器的保持时间要求规定在时钟的有效沿之后的指定时间段内,被锁存的数据应保持稳定。
保持时间检查可确保正在变化的触发器输出值不会传递到捕获触发器,并在捕获触发器有机会捕获其原始值之前覆盖其输出。

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL

触发器到触发器路径

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL
保持时间检查可以用数学公式表示为:

Tlaunch + Tck2q + Tdp > Tcapture + Thold

其中Tlaunch是发起触发器的时钟树延迟,Tdp是组合逻辑数据路径中的延迟,Tcapture是捕获触发器的时钟树延迟。换句话说,由时钟边沿发起的数据到达捕获触发器D引脚所需的总时间必须大于时钟同一边沿到达捕获触发器所需的时间加上保持时间。这样可以确保UFF1 / D保持稳定状态,直到触发器的时钟引脚UFF1 / CK时钟上升沿之后的保持时间为止。

触发器间可能存在多条路径,每条路径均需满足保持时间的要求,这等价于在延时最低的工作条件(PVT)下,触发器间的最短时序路径需要满足保持时间的要求。因此在时序报告中建立时间用-min表示。

保持时间检查需要确保:

  • 当前数据发起时钟沿(Setup launch edge)的下一个(subsequent)时钟沿发起的数据不被当前数据捕获时钟沿(Setup receiving edge)所捕获。
  • 当前数据发起时钟沿发起的数据不被当前数据捕获时钟沿的前一个(Preceding)时钟沿所捕获。

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL

触发器到输出引脚路径

输出引脚数据采用已知时钟捕获

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL

多周期路径

两个触发器之间的数据路径可能需要一个以上的时钟周期才能传播通过逻辑。在这种情况下,这条组合逻辑路径会被定义为多周期路径。这意味着设计中后继触发器每隔多个周期才会使用一次前级触发器输出引脚上的数据,而不是每个周期都使用。

create_clock -name CLKM -period 10 [get_ports CLKM]
set_multicycle_path 3 -setup -from [get_pins UFF0/Q] -to [get_pins UFF1/D]
set_multicycle_path 2 -hold -from [get_pins UFF0/Q] -to [get_pins UFF1/D]
common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL

伪路径

  1. 利用已知/可预测时钟对信号采样时,尽量用多周期路径代替伪路径约束。

  2. 尽量不用-through复杂性高。

  3. 若要在两个时钟域之间设置伪路径,使用get_clocks效率更高:

set_false_path -from [get_clocks clockA] -to [get_clocks clockB]

  代替

set_false_path -from [get_pins {regA_ * }/CK] -to [get_pins {regB_ * }/D]

半周期路径

设计中同时具有负边沿触发的触发器(有效时钟沿为下降沿)和正边沿触发的触发器(有效时钟沿为上升沿),则设计中可能存在半周期路径。

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL

半周期路径的建立时间、保持时间捕获时钟沿与发起时钟沿偏移均为半个时钟周期。

撤销时间检查

撤销时间检查用于确保在有效时钟沿与释放异步控制信号之间有足够的时间。类似于保持时间检查。

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL

恢复时间检查

恢复时间检查用于确保异步信号变为无效状态的时刻与下一个有效时钟沿之间的时间间隔大于一个最
小值。类似于建立时间检查。

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL

跨时钟域

当数据发起触发器和捕获触发器的时钟频率不同时,STA会首先确定一个公共基本周期(common base period)。快时钟的时钟周期会被延拓,以便获得两个时钟的一段公共周期。

慢时钟到快时钟

对于nMHz的慢时钟到4nMHz的快时钟数据传输:
common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL
假设该设计的目的是在CLKP的每隔第4个捕获沿上捕获数据,且数据发起沿后数据会发生变动,即需要将保持时间检查一直移回到数据发起沿所在位置,对应波形图如下:

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL

对应上述波形图可使用的多周期约束如下。

set_multicycle_path 4 -setup -from [get_clocks CLKM] -to [get_clocks CLKP] -end
set_multicycle_path 3 -hold -from [get_clocks CLKM] -to [get_clocks CLKP] -end

其中-end表示依照捕获时钟沿,默认情况下-setup使用-start即发起时钟沿,-hold使用-end即捕获时钟沿。使用-end时会从默认的捕获时钟沿开始前移指定倍数周期,使用-start会从默认的发起时钟沿后移指定倍数周期。

快时钟到慢时钟

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL

如果设计能够支持放宽建立时间检查要求,为数据路径提供两个快时钟周期,此时波形图如下:

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL
此时多周期路径约束如下:

set_multicycle_path 2 -setup -from [get_clocks CLKP] -to [get_clocks CLKM] -start
set_multicycle_path 1 -hold -from [get_clocks CLKP] -to [get_clocks CLKM] -start

多时钟域

不同频率

  • 整数倍:设计中多个时钟的频率是彼此的整数倍。在这种情况下,会通过计算所有相关时钟之间的公共基本周期,以便所有时钟同步。
  • 非整数倍:对于两个频率不是彼此整数倍的时钟域之间存在数据路径的情况,时序分析会先计算相关时钟的公共周期,然后再将时钟扩展到该公共周期。之后,建立时间检查在时钟发起沿和捕获沿之间的最短时间内进行(根据建立时间不等式,两沿最短时检查最严格)。保持时间检查在发起时钟沿对应捕获时钟沿的前一周期与当前发起时钟沿最小时进行。

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL

不同相位

common base period怎么计算,# STA,fpga开发,Xilinx,Vivado,STA,TCL文章来源地址https://www.toymoban.com/news/detail-839179.html

到了这里,关于【STA】多场景时序检查学习记录的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • STA(静态时序分析) 详解:如何计算最大时钟频率,以及判断电路是否出现时钟违例(timing violation)?

            STA(静态时序分析)是时序验证的一种方法,用于计算和分析电路是否满足时序约束的要求。         电路能否正常工作,其本质上是受最长逻辑通路(即关键路径)的限制,以及受芯片中存储器件的物理约束或工作环境的影响。         为了保证电路能够满足设

    2024年02月08日
    浏览(36)
  • Verilog学习记录(一):时序逻辑代码设计和仿真

    本次学习的内容来自B站:Verilog零基础入门  其他相关引用以贴上原链接 时序逻辑电路 一、计数器 1.原理及代码实现 2.Modelsim仿真 二、四级伪随机码发生器 1.原理及代码实现 2.Moselsim仿真 总结  时序逻辑电路是数字逻辑电路的重要组成部分,时序逻辑电路又称,主要由 存储电路

    2024年02月03日
    浏览(34)
  • 静态时序分析Static Timing Analysis2——建立时间和保持时间的时序检查

    2023.4.11 继续学习STA,前面听的感觉好迷糊,先接着看,到时候回头再看一遍理解 2023.4.12 打卡 Tlaunch + Tcq + Tdp = Tclk + Tcapture - Tsetup 时钟的发射和捕获延迟时间是很小的,Tcq和Tsu是工艺库决定的,是固定值。要想等式成立,要取左边的最大值来进行判断 建立时间违例的解决方法

    2023年04月12日
    浏览(26)
  • cesium学习记录06-视图、场景与相机

    一、视图(Viewer) Viewer 是 Cesium 的最高级别的组件,它封装了很多功能,如场景(viewer.scene)、时间线、动画、相机(viewer.camera)、信息框、事件处理、实体集合(viewer.entities)、数据源管理 (viewer.dataSources)等。 Viewer 的创建通常关联到一个 HTML 元素,例如一个 div。 使用

    2024年02月13日
    浏览(29)
  • unity 场景烘培(边学习,边记录)

    目录 前言: 一、什么是场景渲染烘培? 二、为什么要对场景渲染烘培? 总结: 场景烘培渲染这块以前接触很少,因为要么有专门的同事搞这块,要么就是开发2d游戏(完全不需要)。 现在换了一家小公司,自己需要独立做这件事的时候,问题就来了。(此前也烘培了几个

    2024年02月10日
    浏览(26)
  • 官方项目《内容示例》中Common UI部分笔记:Common UI 分场景使用教程

    Common UI给虚幻的UI系统带来了很多新特性,这些新特性往往面向不同的使用场景。目前我看到很多的Common UI教程,都是把这些特性很笼统地展示一遍,这就很容易造成初学者的困惑:“我当前做的这些工作,到底是为了实现什么?”所以本文采用分场景介绍的方式,希望能够

    2024年01月25日
    浏览(31)
  • 机器学习、深度学习项目开发业务数据场景梳理汇总记录二

    本文的主要作用是对历史项目开发过程中接触到的业务数据进行整体的汇总梳理,文章会随着项目的开发推进不断更新。  这里是续文,因为CSDN单篇文章内容太大的话就会崩溃的,别问我怎么知道的,问就是血泪教训,辛辛苦苦写了一天的东西就那么一刹那崩溃就没了。。。

    2024年02月13日
    浏览(31)
  • Unity3D学习记录02——PloyBrush场景搭建

    首先在Window-Package Manager里面搜索Poly Brush,下载后将URP的Shader样例导入  导入后Asset文件夹下会有Sample的文件夹,在菜单栏 Tools-PolyBrush-PolyBrush Window 打开窗口 这个窗口最上面的五个,第一个是用来调整地形高低的,第二个是进行柔化场景的,第三个是调整颜色的, 第四个可以

    2024年02月08日
    浏览(33)
  • 论文阅读/中文记录,材料机器学习:Data-driven based phase constitution prediction in high entropy alloys

    Han Q, Lu Z, Zhao S, et al. Data-driven based phase constitution prediction in high entropy alloys[J]. Computational Materials Science, 2022, 215: 111774. 基于数据驱动的高熵合金相组成预测 【背景】 高熵合金(HEA)因其优异的材料性能和近乎无限的设计空间而吸引了越来越多的研究。开发有效的相组成预测方

    2024年02月03日
    浏览(40)
  • Hive初始化报错Exception in thread “main“ java.lang.NoSuchMethodError: com.google.common.base.

    安装好hive后执行 初始化命令 报错信息如下: 执行初始化命令时发生报错是因为: hadoop和hive的两个guava.jar版本不一致 hive中版本为:guava-19.0.jar hadoop中的版本为:guava-27.0-jre.jar 第一步 :删除hive里的 guava-19.0.jar (1)先到hive的lib目录里 (2)再用命令rm -fr 删除guava.jar 第二步

    2024年02月10日
    浏览(33)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包