Imagination大学计划 rv-fpga实验安装教程及注意事项

这篇具有很好参考价值的文章主要介绍了Imagination大学计划 rv-fpga实验安装教程及注意事项。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

1. 实验环境安装

1.1 vivado 2019.2

 网盘链接:Vivado_2019.2.tar.gz_免费高速下载|百度网盘-分享无限制 (baidu.com)

 安装教程:

网盘中vivado文件夹下载到本地后解压打开,导航到下图,打开xsetup.exe文件。如果打开后有小弹窗直接关闭即可

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

进入如下界面点击next:

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

全部勾选I Agree,点击next:

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

选择工具(可适当取消以减少占用空间),然后点击next:

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

在左边一栏选择合适的安装文件夹,其余不用更改,点击next:

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

然后在下个界面点击install后等待安装完成即可(时间会比较久)

安装完成后,还需要安装Digilent 的 Board 文件(可在本人主页上传的资源中下载),打开下载并解压后的vivado-boards-master文件夹,将其中new/board_files中的所有文件夹进行复制:

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

然后导航到你的vivado安装文件夹中,找到\Vivado\2019.2\data\boards\board_files文件夹(如果没有board_files就自己创建),并将复制的文件夹粘贴进去:

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

至此,vivado2019.2 安装成功,你可以尝试打开它

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

1.2 Visual Studio Code(vscode)安装

 官网下载链接:Download Visual Studio Code - Mac, Linux, Windows

   安装简单,网上也有很多,版本没有特别要求

1.3 platformIO 安装

打开vscode,在VSCode中,单击VSCode左侧栏中的“Extensions”(扩展)图标Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享,在搜索框中输入PlatformIO,然后单击PlatformIO IDE旁边的“Install”(安装)按钮进行安装,安装后会弹出Reload Now,点击即可(或者重新进入vscode)

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

安装完成后,这个左侧栏中的外星人图标Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享点开就是platformIO

注意:实验还需要在vscode中安装Chips Alliance平台,首次打开一个示例程序后会自动安装,如果没有安装就按照以下方法安装Chips Alliance

通过单击左侧栏上的Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享按钮查看“Quick Access”(快速访问)菜单。
然后,在“PIO Home”(PIO主页)中,依次单击Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享按钮和Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享选项卡。找到Chipsalliance(我们在RVfpga中使用的平台),然后单击Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享按钮将其打开,然后点击install进行安装即可。

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

1.4 Cygwin安装

首先安装Cygwin:导航至安装网页(Cygwin Installation),然后下载名为setup-x86_64.exe的安装文件

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

打开该文件进入安装程序,点击下一页:

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

选择从互联网安装,点击下一页:

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

选择安装根目录,下一页:

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

选择本地软件包目录,下一页:

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

保持默认,下一页:

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

随便选择站点,下一页:

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

几个步骤后,将进入(选择软件包)窗口。选择完整视图

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

将显示可以安装的软件包的完整列表。在搜索框中,选择要安装的特定软件包。

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

为了能够编译Verilator并生成新的仿真器二进制文件,需要安装以下软件包,在搜索框中搜索后选择好相应的版本即可,选择下一步:

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

完成后点击finish即可。Cygwin终端图标Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

1.5 verilator安装

接下来安装verilator4.228(不要太低也尽量先不要安装5.0(包括)后的版本):打开cygwin,在cygwin终端中输入以下指令安装verilator:

git clone https://git.veripool.org/git/verilator

cd verilator 

git pull

git checkout v4.228

autoconf

 ./configure

 make

make install

  安装完成后输入 verilator --version,如果出现以下则成功:

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

 1.6 GTKwave安装:

GTKWave可以作为预编译软件包从

gtkwave - Browse Files at SourceForge.net 下载。查找最新的Windows软件包,然后下载该软件包并将其解压缩。可在bin文件夹内找到一个名为gtkwave的可执行文件,可以直接在Windows计算机中执行和使用该文件,无需再安装。主页资源中也同样提供了该文件可供直接下载,与前面的board文件在一起。

2. 实验注意事项

解决verilator生成波形文件的问题

方式1:直接通过Cygwin命令行 利用Vrvfpgasim.exe +ram_init_file=firmware.vh +vcd=1生成

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

方式2:现有的库是以前版本的 gcc/g++,因此需要更新。

在安装的Cygwin文件夹的bin文件夹中找到下图三个文件并复制

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

verilatorSIM文件夹中生成Vrvfpgasim.exe文件之后再将以上文件复制到你的verilatorSIM文件夹中(注意前后顺序,不然可能会报错)

或者新建一个文件夹将上述三个文件放在里面,之后每次新生成的Vrvfpgasim.exe文件放入其中后再使用就不会有问题了,这种方式更加方便(如下图):

Imagination大学计划 rv-fpga实验安装教程及注意事项,rv-fpga,fpga开发,vivado,platformIO,硬件工程,verilator,经验分享

最后再generate trace应该会看见trace.vcd文件。

    文章来源地址https://www.toymoban.com/news/detail-839377.html

到了这里,关于Imagination大学计划 rv-fpga实验安装教程及注意事项的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA开发必备软件——Vivado,安装教程

    FPGA开发必备软件——Vivado,安装教程 如果你想开始FPGA的开发学习,那么Vivado是一个不可或缺的软件。它是Xilinx推出的一款针对FPGA、SoC和ASIC开发的综合设计环境。在这里,我们详细介绍如何下载、安装和配置Vivado软件。 在Xilinx官网上注册一个账号。注册时需要提供自己的邮

    2024年02月13日
    浏览(37)
  • 【FPGA】vivado2019.2安装+license添加教程

    注意: 1.电脑的账户名字一定是英文; 2.压缩文件夹有30个G,安装后会更大,需要预留足够的空间。 百度网盘链接:https://pan.baidu.com/s/1z7K2jdkcFENRx0z4AZJTAw 提取码:ztyo 下载解压后,打开以下文件夹: 在最下面找到安装文件双击开始安装: 弹出第一个界面按 Next : 勾选三个

    2024年02月08日
    浏览(31)
  • 清华大学开源ChatGLM2-6B开源模型在anaconda下的虚拟环境详细部署及安装教程

    python版本要求:3.8以上 没有安装python的没有关系,我们在下面安装anaconda中会自动生成python,有了python的建议删除,通过anaconda安装python以便于后面创建虚拟环境。 windows系统:Windows 10 以上,推荐N卡(NVIDIA显卡20系列以上) 注意:处理器为AMD容易报错,intel的不容易报错,配

    2024年02月16日
    浏览(64)
  • 安路Anlogic FPGA下载器的驱动安装教程

    安路FPGA下载器:EN-ALC10,是一款高性能FPGA下载线(编程器),支持安路的开发软件TDS和全系列FPGA芯片下载编程,支持全速USB2.0与电脑进行数据通信,通过JTAG协议与FPGA进行程序下载仿真等操作。 如下图所示: 1.下载器和电脑连接上usb线,如下图显示; 右键选择更新驱动程序;

    2024年02月02日
    浏览(46)
  • Imagination 推出全新Catapult CPU,加速RISC-V 设备采用

    Imagination APXM-6200 CPU:适用于智能、消费和工业应用的性能密集型RISC-V应用处理器 中国·上海 - 2024 年 4 月 8 日 - Imagination Technologies于今日推出Catapult CPU IP系列的最新产品 Imagination APXM-6200 CPU。这款RISC-V应用处理器具有极高的性能密度、无缝安全性和人工智能(AI)功能,可满足

    2024年04月09日
    浏览(36)
  • 【大学物理实验】夫兰克-赫兹实验

    目录 夫兰克-赫兹实验 文章目录 1. (多选题)在F-H实验中,随着加速电压 的增大,板流IA形成有规则的起伏变化(有峰和谷),在IA-UG2K曲线中,如何求氩原子的第一激发电势?(       ) A. 相邻谷值加速电压之差Um+1-Um就是氩原子的第一激发电势 B. 相邻峰值加速电压之差Um+1-

    2024年02月13日
    浏览(25)
  • “挑战杯”中国大学生创业计划竞赛创业企划书100篇(一)-银浆、柔性透明导电膜、抗菌材料用纳米银线项目(材料类)...

    目录 前言 1、执行总结 1.1 公司与产品 1.2 市场 1.3 生产与营销 1.4 投资与财务 1.5 人力与组织管理 2、公司 2.1 公司概述 2.2 公司愿景 2.3 公司商业模式 2.4 公司发展战略 2.5 公司组织架构 2.6 创业团队 2.7 部门职责 2.8 创业顾问与外部支持 2.9 公司人力资源战略 3、产品及服务 3.1

    2024年02月06日
    浏览(44)
  • 《软件工程》课程四个实验的实验报告(《可行性研究与项目计划》《需求分析》《系统设计》《系统实现》)

    实验学时:     2        实验地点:        任意           实验日期:    12月15日          了解:软件项目可行性研究及项目计划的基本原理与方法; 掌握:Visio等工具进行可行性研究和制定项目计划。 图书管管理系统更便于对图书进行分类和管理,对借阅

    2024年02月03日
    浏览(30)
  • 2023南京邮电大学通达学院《数学实验》MATLAB实验答案

    四月维夏,六月徂暑。 勤将励勉,勿望再晨。 ——赠nmy 南京邮电大学通达学院《数学实验》MATLAB实验答案 答案更新时间:2023.04.28,修改了4.2的存疑部分。已更新完成,如无错误不在更新 为了方便核算,我在代码中单独将 m 定义为自变量运算或者直接以m=117代入,作业中可以

    2023年04月20日
    浏览(100)
  • 山东大学增强现实实验四

    注意:本人尚处在opencv的入门学习阶段,本博客仅为个人学习笔记见解,如有不当,欢迎指出 (实验/理论)平面标志物的视觉跟踪,要求: 选择一个标志物,可以是人工标志物,也可以是自然标志物;实现和实验二相同的效果。 用手机或摄像头拍摄标志物的影像,建议读取视

    2024年02月08日
    浏览(63)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包