FPGA TestBench编写学习

这篇具有很好参考价值的文章主要介绍了FPGA TestBench编写学习。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

1 `timescale

1.1 简介

timescale指令用于指定编译器在处理仿真时的时间单位和时间精度。这个指令通常在模块的顶层声明中使用,它告诉编译器和仿真器如何解释代码中的时间值。

timescale指令的语法如下:

`timescale <time_unit> <time_precision>
  • <time_unit>:这是仿真中使用的时间单位,通常以纳秒(ns)或微秒(us)为单位。例如,如果设置为1ns,那么仿真器会将代码中的1单位时间解释为1纳秒。

  • <time_precision>:这是仿真器在处理时间值时的精度。它定义了仿真器在计算和比较时间值时使用的小数点后的位数。例如,如果设置为1ps,那么仿真器会将时间值计算到皮秒(ps)的精度。

一个典型的timescale指令可能如下所示:

`timescale 1ns / 1ps

这表示仿真器将使用1纳秒作为时间单位,并且时间精度为1皮秒。这意味着仿真器在处理时间值时会考虑到1皮秒的精度。

1.2 例子

下面看一个简单的例子来了解下`timescale的使用方法:

`timescale 10ns/1ns     //单位10ns,精度1ns
 
module testbench;
    reg set;
    localparam d = 1.8;
    initial begin
        #1    set = 0;    //1*10 ns  = 10ns
        #d    set = 1;    //1.8*10ns = 18ns
    end
endmodule
  • 时间单位设置为10ns,精度设置为1ns
  • 第1次在#1时对set赋值0,此时延时时间为10ns,因为时间单位为10ns,#1表示延时1个时间单位
  • 第2次在#d时对set赋值1,此时延时时间为18+10ns,因为时间单位为10ns,#d表示延时1.8个时间单位,即18ns

不要设置无意义的高精度,时间精度越高,对应的仿真所消耗的资源和时间就越多。

比如“`timescale 1ns/1ps”,一般仿真时不需要精确到ps级,所以只需要设置成ns级就行,比如“`timescale 1ns/1ns”。

2 时钟信号

parameter Period = 10;//周期
wire clk;
always #(Period/2) clk = ~clk
always #5 clk = ~clk; // 每5个时间单位翻转时钟信号

3 initial语句块

3.1 简介

在Verilog中,initial语句块是一个用来模拟测试的构造,它在仿真开始时执行一次。它通常用于在仿真开始之前对变量进行初始化,或者在仿真过程中生成激励。

initial语句块可以包含一系列的Verilog语句,如变量声明、赋值语句、循环结构(如forever)、条件语句(如if-else)、顺序块(begin-end)等。

Verilog文件中的所有initial块都是同时并发执行的,但在每个initial块内部是按照写入的顺序执行的。

3.2 例子

module test;
  reg [3:0] data;
  initial begin
    data = 4'b0001;  // 初始化data为0001
    #10 data = 4'b0010;  // 经过10个时间单位后,将data赋值为0010
    #10 data = 4'b0100;  // 再过10个时间单位后,将data赋值为0100
    #10 $finish;  // 经过10个时间单位后,结束仿真
  end
endmodule

4 常用系统函数

4.1 $finish

$finish任务用于立即终止当前的仿真。

当执行到$finish时,仿真器会停止仿真,并且不会执行任何后续的仿真时间点。
这个任务通常在测试平台中用于在特定的测试条件满足后结束仿真,例如,当检测到错误或完成了一系列测试后。
使用示例:

initial begin
    // ... 一些初始化代码 ...
    // 执行测试
    if (some_condition) begin
        $display("Test condition met, finishing simulation.");
        $finish; // 终止仿真
    end
end

4.2  $stop

$stop任务用于暂停仿真,但它不会立即终止仿真。

相反,它会停止仿真直到下一个仿真时间点。这意味着仿真器会等待直到下一个时间点,然后根据仿真器的设置,可能会继续仿真或者停在那个时间点。
$stop通常用于调试目的,例如,当仿真器在某个特定的时间点停止时,设计师可以检查电路的状态,然后决定是否继续仿真或者结束仿真。
使用示例:

initial begin
    // ... 一些初始化代码 ...
    // 执行测试
    $display("Pausing simulation for inspection.");
    $stop; // 暂停仿真
    // 如果需要继续仿真,可以在这里添加代码
    // 如果需要结束仿真,可以在这里添加 $finish;
end

$stop一般与wait函数配合使用,检测到仿真结束条件时,停止仿真,这样就不用一直盯着仿真界面。直接在initial语句中,调用该语句即可,如:

reg reset, start_r;
wire data_end;

initial begin
    // 初始化信号
    reset = 1;         // 将reset信号设置为高电平
    start_r = 0;       // 将start_r信号设置为低电平
    
    // 等待10个时间单位
    #10 reset = 0;     // 经过10个时间单位后,将reset信号设置为低电平
    
    // 等待100个时间单位
    #100 start_r = 1;  // 经过100个时间单位后,将start_r信号设置为高电平
    
    // 等待data_end信号变为高电平
    wait(data_end);    // 这会阻塞直到data_end信号变为高电平
    
    // 当data_end变为高电平时,执行$stop
    $stop;             // 暂停仿真,用户可以在此时进行调试
end

4.3 $display

$display用于在仿真过程中输出信息到控制台。它允许设计者在仿真时查看信号的值、变量的状态以及仿真过程中的其他信息。

$display的基本语法如下:

$display(format_string, arg1, arg2, ..., argn);
  • format_string:这是一个字符串,用于指定输出的格式。你可以在其中使用格式说明符,如 %d 表示十进制数,%b 表示二进制数,%o 表示八进制数,%x 表示十六进制数等。还可以使用 %m 来输出一个字符串,%t 来输出时间信息,%% 表示字面上的百分号。

  • arg1, arg2, ..., argn:这些是要输出的参数,它们的数量和类型应该与 format_string 中的格式说明符相匹配。

使用例子:

module test;
    reg [3:0] a, b;
    initial begin
        a = 4'b1010;
        b = 4'b1100;
        $display("a = %b, b = %b", a, b);  // 输出:a = 1010, b = 1100
        $display("Sum = %d", a + b);       // 输出:Sum = 12
    end
endmodule

4.4 $monitor

$monitor用于在仿真过程中监控指定的信号变化,并在信号值发生变化时输出相关信息。$monitor通常用于调试,因为它可以帮助设计者实时跟踪信号的状态。

用法与$display类似:

module test;
    reg [3:0] a, b;
    initial begin
        a = 4'b0001;
        b = 4'b0010;
        #10 a = 4'b0010;
        #10 b = 4'b0100;
        #10 a = 4'b0101;
    end

    initial begin
        $monitor("Time = %t, a = %b, b = %b",$time, a, b);
    end
endmodule

在这个例子中,$monitor用于监控寄存器a和b的值。每当a或b的值发生变化时,$monitor都会输出当前的时间、a和b的值。输出结果可能如下:文章来源地址https://www.toymoban.com/news/detail-839946.html

Time = 0, a = 0001, b = 0010
Time = 10, a = 0010, b = 0010
Time = 20, a = 0010, b = 0100
Time = 30, a = 0101, b = 0100

到了这里,关于FPGA TestBench编写学习的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA之手把手教你写串口协议解析(STM32与FPGA数据互传)

    最近趁热打铁做了一个关于STM32与FPGA通信并且控制高速DA模块产生不同频率信号的正弦波、方波、三角波和锯齿波的项目,从中收获到了很多东西,也踩了一些雷和坑,将分为几篇文章将整个过程分享出来。 这一次准备分享的是对串口数据的解析和赋值。解析的数据由STM32发

    2024年02月06日
    浏览(32)
  • FPGA之手把手教你做多路信号发生器(STM32与FPGA数据互传控制波形生成)

    最近趁热打铁做了一个关于STM32与FPGA通信并且控制高速DA模块产生不同频率信号的正弦波、方波、三角波和锯齿波的项目,从中收获到了很多东西,也踩了一些雷和坑,将分为几篇文章将整个过程分享出来。 这一次准备分享的是将串口解析的出来的波形频率数据以及波形类型

    2024年02月15日
    浏览(36)
  • FPGA小技巧之testbench 生成串行和并行数据

    这个5208 如何计算的,因为我们是9600波特率,所以发送1bit的时间为1/9600 秒,如果采用50MHz(周期为20ns)的系统时钟来计数,需要计数的个数为 (1/9600)s / 20ns = 5208 个系统时钟周期 347.2 是根据115200 波特率算出来的,25是 40M的时钟,11 表示11位

    2024年02月07日
    浏览(31)
  • 【Verilog HDL】FPGA-testbench基础知识

    🎉欢迎来到FPGA专栏~testbench基础知识 ☆* o(≧▽≦)o *☆ 嗨 ~我是 小夏与酒 🍹 ✨ 博客主页: 小夏与酒的博客 🎈该系列 文章专栏: FPGA学习之旅 文章作者技术和水平有限,如果文中出现错误,希望大家能指正🙏 📜 欢迎大家关注! ❤️ 📜在开发FPGA的过程中,需要掌握V

    2024年02月12日
    浏览(29)
  • 基于FPGA的ECG信号滤波与心率计算verilog实现,包含testbench

    目录 1.算法运行效果图预览 2.算法运行软件版本 3.部分核心程序 4.算法理论概述 4.1 ECG信号的特点与噪声 4.2 FPGA在ECG信号处理中的应用 4.3 ECG信号滤波原理 4.4 心率计算原理 4.5 FPGA在ECG信号处理中的优势 5.算法完整程序工程 其RTL结构如下: vivado2019.2          心电图(ECG)是

    2024年02月20日
    浏览(30)
  • (一)手把手教你如何通过ARM DesignStart计划在FPGA上搭建一个Cortex-M3软核

    1.1 如何下载ARM DesignStart Cortex-M3相关文件 ​ 关于ARM DesignStart计划的介绍:ARM DesignStart计划——私人定制一颗ARM处理器 - 知乎 (zhihu.com)。 ​ 在arm Developer官网[Arm Developer](https://developer.arm.com/downloads)右上方的Downloads中搜索ARM DesignStart Cortex-M3第一个即是FPGA上定制的Cortex-M3软核IP。

    2024年02月04日
    浏览(31)
  • m基于FPGA的桶形移位寄存器verilog实现,包含testbench

    目录 1.算法仿真效果 2.算法涉及理论知识概要 2.1、桶形移位寄存器的基本原理 2.2、桶形移位寄存器的数学模型 2.3、桶形移位寄存器的实现步骤 3.Verilog核心程序 4.完整算法代码文件 本系统进行了两个平台的开发,分别是: Vivado2019.2 Quartusii18.0+ModelSim-Altera 6.6d  Starter Edition 其

    2024年02月04日
    浏览(40)
  • 基于FPGA的二维DCT变换和逆变换verilog实现,包含testbench

    目录 1.算法运行效果图预览 2.算法运行软件版本 3.部分核心程序 4.算法理论概述 5.算法完整程序工程 数据导入到matlab显示图像 vivado2019.2 matlab2022a         离散余弦变换(Discrete Cosine Transform,DCT)是一种广泛应用于图像和信号处理领域的变换技术。在图像处理中,DCT常被用于

    2024年02月21日
    浏览(24)
  • 基于FPGA的AES加密解密vivado仿真,verilog开发,包含testbench

    目录 1.算法描述 2.仿真效果预览 3.verilog核心程序 4.完整verilog          AES, 高级加密标准, 是采用区块加密的一种标准, 又称Rijndael加密法. 严格上来讲, AES和Rijndael又不是完全一样, AES的区块长度固定为128比特, 秘钥长度可以是128, 192或者256. Rijndael加密法可以支持更大范围的区

    2024年02月01日
    浏览(43)
  • Verilog学习笔记(4):仿真验证与Testbench编写

    仿真,也叫模拟,是通过使用EDA仿真工具,通过输入测试信号,比对输出信号(波形、文本或者VCD文件)和期望值,来确认是否得到与期望所一致的正确的设计结果,验证设计的正确性。 验证是一个证明设计思路如何实现,保证设计在功能上正确的一个过程。 验证在Verilog设

    2024年02月01日
    浏览(35)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包