EBU6335 digital system design

这篇具有很好参考价值的文章主要介绍了EBU6335 digital system design。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。


EBU6335 2022/23
Question 1
a) Describe entry in the context of digital system design. Also explain how VHDL is used in the entry
process.
[5 marks]
b) The following is an incomplete VHDL model the so-called Or-And-Invert (OAI) gate (Boolean function Y = (A + B) · C), possibly with some syntax errors.
[8 marks]
entity OAI21 is
port (
A B C: in std_logic
Y: out std_logic
)
end
architecture is
Y = ~(A + B * C)
end architecture
i) Copy, correct and complete the VHDL code.
(5 marks)
ii) Suggest the output of the gate if inputs are A = 0, B = C = 1.
(3 marks)
c) In a signed binary addition S = A + B, it is known that A > 0 and B < 0.
[10 marks]
i) Explain whether there will be a carry in such an addition or not.
(3 marks)
ii) How is signed number represented?
(2 marks)
iii) Suppose the following full adder block FA is given as a component, write the VHDL architecture (no
need to provide the entity) for a 48-bit binary subtractor that takes A and B and gives S. You can
ignore carry in and out for your answer.
entity FA is
port (X, Y, Cin : in std_logic;
S, Cout : out std_logic);
end FA;
(5 marks)
Page 2 of 8
EBU6335 2022/23
Question 2
a) Explain briefly how a D flip-flop can be constructed using D latch(es).
[4 marks]
b) Figure 1 shows a sequential block constructed by a 2-to-1 multiplexer (MUX) and a positive-edge
trigged D flip-flop. This can be used to build a shift register.
[11 marks]
Figure 1: Sequential Block built by a MUX and a Flip-flop
i) If it is given that inverter has an area of 2 units and any 2-input logic gate has an area of 3 units,
estimate the area of the block.
(3 marks)
ii) Design and construct a 4-bit left shift register (i.e. towards MSB) using the block in Figure 1:
input(s): shift in bit X, shift control Shif t (active-high)
output(s): counter outputs Y = (MSB)Y3Y2Y1Y0(LSB)
Illustrate your design using a diagram (with proper annotations)
(5 marks)
iii) Is your design in ii) synchronous? Explain why.
(3 marks)
c) You are asked to design an add-2 synchronous counter that counts in 0, 2, 4,... numerically.
[8 marks]
i) Discuss a general strategy to design a synchronous counter
(4 marks)
ii) Write the VHDL architecture for the required add-2 synchronous counter with an asynchronous reset,
based on the following entity.
Your answer should use NO components.
entity SyncCountBy2 is
port (RST: in std_logic; -- asynchronous reset
CLK: in std_logic; -- clock signal
Q: out std_logic_vector(7 downto 0));
end SyncCountBy2;
(4 marks)
Page 3 of 8
EBU6335 2022/23
Question 3
a) A mealy finite state machine is used to automate a vending machine. The machine dispenses a bottle
of water after ≥ (greater than or equal to) 1 Yuan (=10 Jiao) is deposited. There is a single slot
through which to insert Yuan and Jiao. One cannot insert Yuan and Jiao at the same time. One
cannot insert any other coins or notes. The machine does not issue change. Figure 2 shows the state
transition diagram for the system.
[10 marks]
Figure 2: State transition diagram for a vending machine which dispenses bottles of water
i) State one difference between a synchronous state machine and an asynchronous state machine?
(1 marks)
ii) How many flip-flops in minimum are required to implement this state machine?
(1 marks)
iii) Part of the VHDL code used to implement the state machine is given below. Complete the code.
(8 marks)
entity mealy is
Port ( clk, rst : in STD_LOGIC;
1_Yuan, 5_Jiao : in STD_LOGIC;
dispense_water : out STD_LOGIC);
end mealy;
architecture Behavioral of mealy is
type state is (st0, st1);
signal present_state , next_state: state;
begin
syncronous_process: process (clk)
begin
if rising_edge(clk) then
if (rst = '1') then
present_state <= st0;
else
present_state <= next_state;
end if;
end if;
end process;
next_state_and_output_decoder: process(present_state , din)
begin
dispense_water <= '0';
next_state <= present_state;
case (present_state) is
-- your answers begin here
Page 4 of 8
EBU6335 2022/23
-- ...
-- ...
end case;
end process;
end Behavioral;
b) Consider the incomplete first-in first-out (FIFO) buffer shown in Figure 3. The 4-bit up counter is included to generate full and empty control signals. Prepare the VHDL architecture without any component
for the part circled in red.
[7 marks]
Figure 3: Block diagram for a First-in first-out buffer
c) Consider the DRAM cell, shown in Figure 4.
Figure 4: DRAM cell
The following sequence of events occurs. Explain whether this will lead to a ‘1’ being stored in the
cell. If not please explain what changes/additions are required and why.
[3 marks]
Step 1: The row input is set to 5V to represent the ‘1’ that will be written to the cell.
Step 2: Next the transistor must be turned on by setting the row input to 5V.
Step 3: The voltage on the capacitor will then increase until it reaches 5V.
Step 4: The transistor must remain turned on in order to trap the stored charge.
Page 5 of 8
EBU6335 2022/23
d) Figure 5 shows a number of tristate logic gates connected to a common bus line. Copy the diagram
and add the missing inputs and outputs to the points labelled A, B, C on the diagram.
[3 marks]
Figure 5: Tristate logic circuit
Page 6 of 8
EBU6335 2022/23
Question 4
a) The following algorithm is used to compare two 5-bit binary numbers and count the number of
corresponding bits that differ between them.
[13 marks]
i=0
Number_of_Bits = 5
while (i < Number_of_Bits) {
i=i+1
if (Binary_Number_1(i) =/ Binary_Number_2(i)){
j=j+1
}
}
output = j;
You are given a datapath as shown in Figure 6. The control signals are circled with their respective
bit positions in the control word, e.g. LD for R0 is bit 1 of the control word. RX.0 denotes the bit 0
(LSB) of the value stored in register RX and the shifter shifts value exactly 1 bit to the left (towards
LSB).
Figure 6: A Datapath with 5 registers
i) Based on the datapath shown in Figure 6, express the algorithm in RTL/RTN. You should generate
a done signal when the algorithm finishes.
(7 marks)
ii) Derive the control words (13; 12; ... ; 1; 0) for your algorithm.
(6 marks)
b) Consider the following number 5.37510. Express this number using a 10-bit binary number having
same number of bits for the integer and fractional parts.
[4 marks]
c) We wish to form the following product: 710 ×310. Let M = 710 and Q = 310. Use Booth’s Algorithm
to calculate the result. Show all workings.
[6 marks]
Page 7 of 8
EBU6335 2022/23
Question 5
ASCII code is a character encoding using exactly eight bits. In digital communications for ASCII code,
a start bit S (1→ 0) and a stop bit P (0→ 1) are attached to the beginning and the end of the character bit
stream respectively. For example, character A is encoded and transmitted as S01000001P.
You are now required to build a digital system for communications for 8-bit ASCII code.
Based on your design experience from the course project, discuss your approach in (i) designing an asynchronous ASCII code transmitter and receiver, and (ii) modelling and implementing the WX:codehelp 文章来源地址https://www.toymoban.com/news/detail-842917.html

到了这里,关于EBU6335 digital system design的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 论文解读--Wideband 120 GHz to 140 GHz MIMO Radar:System Design and Imaging Results

            本文提出了一种工作频率在120 GHz ~ 140 GHz之间的宽带FMCW MIMO雷达传感器。该传感器基于SiGe技术制造的雷达芯片组,并使用MIMO方法来提高角度分辨率。MIMO操作通 过发射机的时域复用实现 。该雷达能够通过使用FFT处理和延迟和波束形成器产生2D图像。本文给出了雷达

    2024年01月25日
    浏览(36)
  • C语言system()函数

    头文件: 作用:暂停程序进程。 示例: 会得到这样的结果: 这就是因为system(“pause”)暂停了进程,当我们按下任意键后程序继续. 作用:改变控制台背景色(由num1控制)和前景色(由num2控制),注意这里的num1和num2均为十六进制数。 注:整个程序中,只有最后一个system

    2024年02月07日
    浏览(32)
  • C语言 常用工具型API --------system()

            创建一个子进程去加载一个新程序执行,而Linux命令基本都是一个单独的进程实现的,所以你所掌握的Linux命令越多,该函数功能就越强大。 其原理基本就是这样,如果想要深入了解可以去了解一下相关的函数。 (执行shell 命令) 相关函数 fork,execve,waitpid,pope

    2024年02月12日
    浏览(35)
  • Altium Designer二次开发

            Altium Designer二次开发就在该软件原有的基础上,自己写代码给它添加新功能,如:一键生成Gerber,计算铺铜面积,PCB走线的寄生参数和延时等等。         Altium Designer二次开发有两种方式,一种是基于Altium Designer SDK的C#、C++开发;另一种是Delphi Script的脚本开发

    2024年02月08日
    浏览(73)
  • WordArt Designer:基于用户驱动与大语言模型的艺术字生成

            FaceChain人物写真开源项目,支持风格与穿着自定义,登顶github趋势榜首!       本文介绍了一个基于用户驱动,依赖于大型语言模型(LLMs)的艺术字生成框架,WordArt Designer。 体验链接: https://modelscope.cn/studios/WordArt/WordArt/summary       该系统包含四个关键模块

    2024年02月11日
    浏览(35)
  • Android开发的UI设计——Material Design

    Material Design 是用于指导用户在各种平台和设备上进行视觉、动作和互动设计的全面指南。如需在您的 Android 应用中使用 Material Design,请遵循 Material Design 规范中定义的准则,并使用 Material Design 支持库中提供的新组件和样式。 安卓中的Material Design 作为Google旗下的一员——

    2024年02月13日
    浏览(36)
  • OpenCV+ Qt Designer 开发人脸识别考勤系统

    本系统是一个基于OpenCV和 Qt Designer 的人脸识别考勤系统,主要功能是自动识别摄像头中的人脸,并把人脸对应的姓名和打卡时间存储到数据库中,方便管理人员进行考勤管理。本系统使用 face_recognition 库进行人脸识别,使用 PyQt5 开发界面,然后把界面与代码进行整合。 系统

    2024年02月06日
    浏览(48)
  • 开发安全之:System Information Leak: External

    Overview  在调用 error_reporting() 过程中,程序可能会显示系统数据或调试信息。由 error_reporting() 揭示的信息有助于攻击者制定攻击计划。 Details 当系统数据或调试信息通过套接字或网络连接使程序流向远程机器时,就会发生外部信息泄露。 示例 1: 以下代码会将一个异常写入

    2024年01月21日
    浏览(44)
  • Ant Design:企业级 UI 设计语言和 React 库 | 开源日报 No.88

    Stars: 87.9k License: MIT Ant Design 是一个企业级 UI 设计语言和 React UI 库。 为 Web 应用程序设计的企业级 UI。 提供一套高质量的开箱即用的 React 组件。 使用可预测静态类型编写 TypeScript 代码。 包含完整的设计资源和开发工具包。 支持数十种语言国际化支持 基于 CSS-in-JS 实现强大

    2024年02月04日
    浏览(46)
  • Flutter Android开发 梳理Google Material Design颜色体系

    做安卓开发(Kotlin语言),Flutter开发的人员应该都听说过谷歌一直推崇的Material Design,而Material Design Color是其推崇的颜色体系,具体来说,Material Design Color是一套旨在帮助设计师和开发者创建视觉吸引力和一致性界面的指南。它不仅包括了丰富的颜色选择,还提供了如何有效

    2024年02月20日
    浏览(38)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包