FPGA内部资源介绍(1)-逻辑资源块

这篇具有很好参考价值的文章主要介绍了FPGA内部资源介绍(1)-逻辑资源块。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

近期有许多小伙伴私信小编,希望小编出一些FPGA基础知识,能对FPGA有初步的认识。小编立马奋笔疾书,熬夜整理了一些学习FPGA必备的基础知识,双手呈上~~,每天一个干货,一星期带你入门FPGA!

敲黑板!都是干货!

逻辑资源块是FPGA中最重要的资源,它在FPGA芯片中占的比重最大。Xilinx公司称它为时B.即ConffgurabeLogic Bock:而Altera公司称它为AM即 Adapive logic Module。Xilinx把一个CLB划分为若干个slice,每个slice中一般又包含若干个LUT、寄存器、异或门和复用器等;Altera把一个ALM划分为若干个ALUT 和寄存器等,而每个ALUT 中一般又可包含若干个LUT,全加器和复用器等。因此虽然逻辑资源块在不同的器件中叫法不一样(当然结构上也会有略微的差别),但它们的本质是类似的。在FPGA芯片中,逻辑资源块是均匀分布的,不过在列的方向上,它们的排列一般更加紧密些,这估计是为了减少加法进位链的延迟和实现高性能的寄存器链吧。

下面,我们给出 Xilinx公司 Virtex-5系列芯片中的基本slice结构图(图1)和Altera公司StratixN系列芯片中的基本ALM结构图(图2)供大家对比。

对比图1和图2可以看出,无论是CLB还是ALM,它们包含的基本元素都是相似的。下面我们详细介绍一下这些基本元素。

1.LUT

LUT 即查找表的意思,它是FPGA芯片与其他可编程逻辑芯片之间最大的区别。LUT可以有多个输入引脚,但只能有一个输出引脚。我们可以用 ROM 的概念来理解 LUT,即,般来说,一个N输人的查找表,它的行为相当于一个地址总线为N位,单位存储量为1bit,总存储量为2bit的单口 ROM。例如,对于一个2输人的查找表,如果按照“逻辑与”的真值表去配置其内部ROM的存储内容,那么它的行为就相当于一个与门;如果按照“逻辑或”的真值表去配置其内部ROM的存储内容,那么它的行为就相当于一个或门;如果固定住一个输人引脚,也可以很方便地模拟非门的行为;如果恰当地组合两个2输人的LUT,也可以很方便地实现任意3输人逻辑:以此类推。因此,只要通过恰当的连接,我们可以用该LUT实现任意的组合逻辑功能。进一步分析,通讨结合【共同语言→数字逻辑电路基础知识→数字锣辑的化简】中关于最小项概念的介绍,我们可以知道,LUT中的每一个存储单位都对应于逻辑表达式中的一个最小项,因此,LUT可以方便地描述任何组合逻辑。目前来说,FPGA中的基本 LUT一般都是4输入,6输人甚至更多输人引脚的,那么它们所能实现的逻辑功能就更加复杂、灵活和多变。

FPGA内部资源介绍(1)-逻辑资源块,fpga开发

图1

FPGA内部资源介绍(1)-逻辑资源块,fpga开发

图2

除此以外,LUT不仅仅可以实现灵活、多变的组合逻辑,通过恰当地配置,它甚至可以模拟寄存器的行为,例如图3用LUT完美地模仿了一个寄存器的行为(前提是 LUT中的存储空间被正确配置)。

FPGA内部资源介绍(1)-逻辑资源块,fpga开发

图3

其中,两个LUT分别模仿了两个锁存器的行为,上面的4输人LUT模拟了一个高电平冼通的latch行为,下面的5输人LUT模拟了一个低电平选通的latch行为而两个LUT中的反馈回路是模拟 latch 在阻止状态下保持原值的关键。

注意,在LUT模仿寄存器的例子中,使用了反馈结构。对于组合逻辑来说,使用反馈结构是很危险的,因为一不小心引入了负反馈就会造成逻辑的混乱。在使用 LUT的时候也是一样,要注意不要引人负反馈。不过LUT 有一个区别于与或非门逻辑的显著特点,那就是 LUT 虽然可以很好地模拟任何组合逻辑,但它实际上不是一个纯粹的组合逻辑,因为组合逻辑的电路是没有记忆的,而LUT是有记忆的,虽然这种记忆一旦建立起来就不会改变直到掉电或下一次电路配置。这是因为LUT有内部存储单元,而它的实现形式可以是ROM、 RAM、寄存器或者MUX加一系列到电源或地的开关等。当然了,由于LUT的实现形式多种多样,因此在 FPGA 中,也可以用 LUT 实现 ROM、RAM甚至移位寄存器。

2.加法器

加法是所有二进制运算的基础,因此它在 FPGA 中的地位非常重要。而二进制加法中最重要的功能元素就是异或操作,因此,为了实现高性能的加法,Xilinx采用了专门的异或门,而 Altera也是引人了专门的全加器。虽然LUT也可以模拟异或门的功能,但是它的延迟相比于专有的异或门来说还是太大,尤其是两个多位数据的相加时,由于级联效应,会导致处理变慢。因此,目前在FPGA的逻辑资源块中,都是留有专门的异或门外加专门的进位链布线资源,再配合相关资源实现全加器的。不过 LUT可以配合异或门实现超前进位加法器,进一步提高加法器的性能。

3.寄存器

FPGA中有丰富的寄存器资源,这也是它区别于CPLD的地方。寄存器是时序逻辑的基础,由于它对电路状态的保持和记忆特性,才使得数字电路具有千变万化的功能。FPGA中的寄存器一般可以被配置为D类型的触发器或者锁存器。配置为D类型的触发器时,根据生产厂商以及型号的不同,可能会有一些其他的功能管脚,例如异步复位、同步复位、时钟使能、同步置位等。FPGA中寄存器的输出一般都会连到附近一个寄存器的输人MUX中,通过配置该 MUX,可以将多个寄存器串联起来形成寄存器链,实现移位寄存器电路。

4.MUX

MUX就是复用器,也叫多路选择器。FPGA的逻辑资源块中,有很多MUX,根据用法可以分为两类:一类是配置MUX,它的选通是在配置FPGA的时候确定的,因此它决定了所处逻辑资源块的功能;另一类是逻辑MUX,它的选通是由此时的内部逻辑决定的,因此它是内部逻辑的一部分。由此可见配置MUX在FPGA中的作用更大一些,通讨改变配置 MUX的选通情况,可以让逻批资源块定现多种名样的功能。例如,通过改变配置MUX的选通情况我们可以只使用逻辑资源块中的组合逻辑部分,也可以只使用其中的寄存器,甚至可以同时使用这两部分,但它们却分别隶属于不同的功能模块。文章来源地址https://www.toymoban.com/news/detail-845072.html

到了这里,关于FPGA内部资源介绍(1)-逻辑资源块的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA 底层资源相关介绍

    Xilinx FPGA底层资源介绍 本文转载自:瓜大三哥微信公众号 XILINX FPGA 芯片整体架构如下所示,整个芯片是以BANK进行划分的,不同的工艺、器件速度和对应的时钟具有不同的BANK数量(下面截图是以K7325tffg676为例):左边的BANK都是HR BANK,右侧的最下面三个是HP BANK,最上面的四个

    2024年01月23日
    浏览(26)
  • FPGA纯verilog实现4路视频拼接,纯逻辑资源搭建,提供4套工程源码和技术支持

    FPGA高端项目:Xilinx Kintex7系列FPGA多路视频拼接 工程解决方案 提供6套工程源码和技术支持 没玩过图像拼接都不好意思说自己玩儿过FPGA,这是CSDN某大佬说过的一句话,鄙人深信不疑。。。 图像拼接在实际项目中应用广泛,特别是在医疗和军工行业,目前市面上的图像拼接方

    2024年02月08日
    浏览(37)
  • 高云FPGA芯片GW1NSR-4C芯片资源介绍

    首先自我介绍:我司市高云的一级代理商  随时欢迎大家和我探讨高云FPGA芯片:Lattice_joan 高云4K的FPGA芯片采用的是高云半导体小蜜蜂系列的GW1NSR-4C,它是一颗SoC芯片,片上集成了FPGA逻辑和ARM Cortex-M3 硬核处理器 。注意是硬核处理器,而不是软核,两者有很大的区别,硬核处

    2024年02月06日
    浏览(34)
  • Fpga开发笔记(一):高云FPGA芯片介绍,入手开发板套件、核心板和底板介绍

    若该文为原创文章,转载请注明原文出处 本文章博客地址:https://hpzwl.blog.csdn.net/article/details/135551179 红胖子网络科技博文大全:开发技术集合(包含Qt实用技术、树莓派、三维、OpenCV、OpenGL、ffmpeg、OSG、单片机、软硬结合等等)持续更新中… 上一篇:没有了 下一篇:《Fpga开

    2024年02月02日
    浏览(30)
  • MachXO2系列 FPGA LCMXO2-7000HC-4TG144C-可编程逻辑器件介绍

    莱迪思深力科MachXO2系列LCMXO2-7000HC-4TG144C是高度可配置的串行逻辑器件(PLD),具有低误差,具有和高系统集成等特性。MachXO2系列逻辑密度增加了3倍,嵌入存储增加了10倍,静态降低100倍,而成本降低30%,因此广泛使用在系统中应用如通信架构,计算,高端工业和高端医疗以

    2024年02月10日
    浏览(31)
  • SOC FPGA介绍及开发设计流程

    目录 一、SoC FPGA简介 二、SoC FPGA开发流程 2.1 硬件开发 2.2 软件开发          SOC FPGA是在FPGA架构中集成了基于ARM的硬核处理器系统(HPS),包括处理器、外设和存储器控制器。 相较于传统的仅有ARM处理器或 FPGA 的嵌入式芯片,SOC FPGA既拥有ARM处理器灵活高效的数据运算和事务

    2024年02月15日
    浏览(33)
  • FPGA - 7系列 FPGA内部结构之CLB -02- CLB功能详解

    本文翻译自UG474第二章,主要对7系列FPGAs CLB结构进行详细介绍。这些细节对设计优化和验证很有帮助。 CLB 在 7 系列 FPGA 中按列排列。 7 系列是基于 ASMBL架构提供的独特柱状方法的第四代产品。ASMBL 架构 Xilinx 创建了高级硅模块块 (ASMBL) 架构,以支持具有针对不同应用领域优化

    2024年02月06日
    浏览(27)
  • FPGA_ZYNQ (PS端)开发流程(Xilinx软件工具介绍)

            针对不同的应用领域,Xilinx 公司设计开发了各种逻辑资源规模和集成各 种外设功能的Zynq SOC器件,包括专为成本优化的Zynq-7000平台,面向高性 能实时计算应用领域的 Zynq UltraScale+ MPSoC,面向射频通信的 ZynqUltraScale+ RFSoC,以及具备高度可扩展特性的自适应加速平台

    2024年01月16日
    浏览(53)
  • FPGA资源评估:如何优化FPGA资源利用率

    FPGA资源评估:如何优化FPGA资源利用率 在FPGA设计中,资源利用率是一个非常重要的指标。如何优化FPGA资源利用率,进一步提高设计效率和性能呢?本文将从FPGA资源评估的角度,介绍如何合理地使用FPGA资源,减少浪费,实现资源的最优配置。 在FPGA设计中,我们经常会遇到资

    2024年02月02日
    浏览(34)
  • FPGA - 7系列 FPGA内部结构之CLB -03- CLB相关原语以及应用

    本文节选UG474的第二章,进行整理翻译。CLB资源被FPGA综合工具自动有效地使用,不需要任何特殊的FPGA专用编码。一些HDL编码建议和技术可以帮助优化设计以获得最大效率。 这些指南是为有效使用7系列CLB的设计建议提供的快速核对表。7系列CLB的设计建议: 资源利用 使用通用

    2024年02月03日
    浏览(37)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包