FPGA开发 -- Vivado使用VSCode编译带图文(安装 语法校验 自动缩进 )

这篇具有很好参考价值的文章主要介绍了FPGA开发 -- Vivado使用VSCode编译带图文(安装 语法校验 自动缩进 )。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

一 前言

Vivado 版本

Vivado 2018.03

芯片

ZYNQ-XC7Z010

VSCode

安装最新版本就行

二 Vivado 设置编译方式

Tools

 Text Editor

设置 VSCode 地址

​编辑三 VSCode 插件安装

1. Verilog HDL/SystemVerilog

 打开vscode,打开拓展界面

 环境变量设置

2. SystemVerilog

​编辑 设置为默认缩进软件(如图所示)

3. Verilog HDL

🫡欢迎进行技术讨论🫡


一 前言

最近开始学习FPGA的开发,下面就我个人学习的一个阶段做一个总结与记录,以及分享我所找到的一些资料给大家做个参照~~文中有不足之处望指教。

这个文章主要包含了 Vivado 的安装 以及如何使用 VSCode 进行代码部分的编写,当然也有很多其他的编辑器可以使用,开发的平台选择好了我们也可以事半功倍~~ 

我是因为我开发STM32的时候就是使用 VSCode 编辑器进行开发的,就难得去下载其他编辑器了

vscode fpga,FPGA,fpga开发,vscode,Vivado,Xilinx


Vivado 版本

Vivado 2018.03

给大家附上安装包连接等,安装教程我就不一一叙述了,直接放到网盘中

主要安装不要有中文就行啦!
Vivadohttps://pan.baidu.com/s/1EU-_VPD-O8kaTjkv7DYLZg?pwd=xzy0%C2%A0vscode fpga,FPGA,fpga开发,vscode,Vivado,Xilinx

芯片

ZYNQ-XC7Z010

Zynq-7000 系列芯片是基于Xilinx 的可扩展处理器平台架构(Extensible
Processing Platform, EPP),将双核ARM Cortex-A9 处理器和FPGA 可编程逻辑单
元集成在一颗单芯片中,从而构成了PS(Processing System)加PL(Programmable
Logic)的单芯片SoC 解决方案。

初学来讲,这款芯片性价比较高,也有完善的学习资料

VSCode

安装最新版本就行

VSCode官网https://code.visualstudio.com

vscode fpga,FPGA,fpga开发,vscode,Vivado,Xilinx

二 Vivado 设置编译方式

Tools

点击 Tools 的 Setting 功能

vscode fpga,FPGA,fpga开发,vscode,Vivado,Xilinx

vscode fpga,FPGA,fpga开发,vscode,Vivado,Xilinx

 Text Editor

点击  Text Editor 中的 最后一个选项

vscode fpga,FPGA,fpga开发,vscode,Vivado,Xilinx

vscode fpga,FPGA,fpga开发,vscode,Vivado,Xilinx

设置 VSCode 地址

将我们安装的 VSCode 编辑器地址复制放入 Editor 中

红色字为需要修改的部分 修改后将尾部文字复制粘贴即可

D:\Program\VSCode\Microsoft VS Code\Code.exe -g [file name]:[line number]

三 VSCode 插件安装

1. Verilog HDL/SystemVerilog

是实现语法高亮,自动补全、列出端口等,直接在vscode 插件库中,搜索 Verilog HDL/SystemVerilog 下载即可。

vscode fpga,FPGA,fpga开发,vscode,Vivado,Xilinx

 打开vscode,打开拓展界面

vscode fpga,FPGA,fpga开发,vscode,Vivado,Xilinx

 环境变量设置

vscode fpga,FPGA,fpga开发,vscode,Vivado,Xilinx

2. SystemVerilog

语法自动缩进

vscode fpga,FPGA,fpga开发,vscode,Vivado,Xilinx 设置为默认缩进软件(如图所示)

vscode fpga,FPGA,fpga开发,vscode,Vivado,Xilinx

vscode fpga,FPGA,fpga开发,vscode,Vivado,Xilinx

3. Verilog HDL

vscode fpga,FPGA,fpga开发,vscode,Vivado,Xilinx

🫡欢迎进行技术讨论🫡


⚠️⚠️END⚠️⚠️文章来源地址https://www.toymoban.com/news/detail-846355.html


到了这里,关于FPGA开发 -- Vivado使用VSCode编译带图文(安装 语法校验 自动缩进 )的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Xilinx FPGA开发环境vivado使用流程

    第一步:点击Add Sources按钮 第二步:选择add or create design sources按钮,即添加设计文件 第三步:选择create file 文件新建完成后: 此时可以定义I/O端口,我们选择自己在程序中编写。 第四步:在编辑器中编写verilog程序 XDC文件里主要是完成管脚的约束,时钟的约束,以及组的约

    2024年02月03日
    浏览(45)
  • FPGA开发之Vivado安装及HLS环境配置,并实现流水灯实例

    HLS(High-Level Synthesis)高层综合,就是将 C/C++的功能用 RTL 来实现,将 FPGA 的组件在一个软件环境中来开发,这个模块的功能验证在软件环境中来实现,无缝的将硬件仿真环境集合在一起,使用软件为中心的工具、报告以及优化设计,很容易的在 FPGA 传统的设计工具中生成 IP。

    2024年02月05日
    浏览(34)
  • FPGA 后仿(基于VIVADO和ISE编译库)

    Xilinx 的vivado后仿或者综合后功能仿真支持各种主流仿真器包括vcs,ies(ncverilog),modelsim等。本文描述的是基于Xilinx FPGA的综合库进行网表的功能仿真或者后仿真的总结。重点是如何提取FPGA的std cell仿真模型和SDF,以及如何利用主流仿真器进行后仿。 一、采用第三方仿真器通常

    2024年02月03日
    浏览(29)
  • Vivado增量编译:加速FPGA设计实现的利器

    随着FPGA设计的复杂度不断提高,设计人员需要选择更为高效的设计流程来保证开发效率和减少开发成本。其中,Vivado增量编译是一种非常重要的设计流程。本文将介绍Vivado增量编译的基本概念、优点、使用方法以及注意事项。 通过阅读本文可以了解: 增量编译是什么?有什

    2024年02月09日
    浏览(42)
  • Vivado时序约束TCL命令——获取引脚(get_pins)在FPGA设计中起着重要作用。本文将为大家详细介绍get_pins命令的语法和使用方法。

    Vivado时序约束TCL命令——获取引脚(get_pins)在FPGA设计中起着重要作用。本文将为大家详细介绍get_pins命令的语法和使用方法。 get_pins命令用于获取指定对象(Object)的引脚(Pin)列表。我们可以使用get_pins来获取具有特定命名约定的引脚(如CLOCK、RESET等),并通过对这些引

    2024年02月05日
    浏览(28)
  • FPGA开发环境 Vivado

    Vivado是Xilinx系列FPGA开发环境。本文记载收录了vivado常用开发技巧,随机记录、随时更新。。。 任何Xilinx相关问题都可到WELCOME TO XILINX SUPPORT!查询 静态时序分析(Static Timing Analysis, STA):简介及内容导航 VIVADO的综合属性ASYNC_REG 在XDC中作如下约束,表示对名字末尾为 _cdc_to 的寄

    2024年02月11日
    浏览(36)
  • 在Linux(Ubuntu)中使用终端编译 && vscode安装

    虚拟机安装(我这里直接用的当初大数据实验的虚拟机) 常见的linux操作命令 创建及浏览文件 :新建test1文件夹,创建hello.cpp程序,并浏览文件夹。 vim打开文件 :修改内容。 :wq 保存并退出。 运行.cpp程序 : gcc -o hello hello.cpp ,编译完成后可以看到在和hello.cpp相同目录下有

    2024年03月12日
    浏览(31)
  • vscode开发c++ 程序,配置使用微软自家的cl编译器。

    vscode 写c++代码, 配置为使用微软自己的cl编译器: 1.安装visual studio 2022, 并且创建一个可以正常编译的cpp工程。 2. 从visual studio 2022的菜单 项目 属性,查出include和lib的配置信息,并录入到window的系统环境变量中,当然还要把cl可执行文件的路径也录入到window11系统PATH中,如下

    2024年03月19日
    浏览(46)
  • 使用vscode + gcc进行 STM32 单片机开发(一)编译及调试

    众所周知,单片机MCU的开发通常是使用keil来进行的,但是keil作为一款有几十年历史的IDE,bug层出不穷,界面也越来越丑,加上使用盗版jlink,导致keil各种崩溃卡死。 故越来越多的单片机IDE也如雨后春笋般冒出,例如 st官方出版的st studio、rt-thread出版的rt-studio,至于好不好用

    2023年04月08日
    浏览(36)
  • 【FPGA】Vivado开发流程(基于2018.3版本)

    基本流程:①设计定义 ②设计输入 ③分析综合 ④功能仿真 ⑤布局布线 ⑥分析性能   双击 Vivado图标即可启动 Vivado 软件。 ①Quick Start 组包含有 Create Project(创建工程) Open Project(打开工程)OpenExample Project(打开实例工程)。 ②Tasks 组包含有 Manage IP(管理 IP) Open Hardw

    2024年02月14日
    浏览(29)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包