安路FPGA-TangDynasty软件学习笔记二 仿真

这篇具有很好参考价值的文章主要介绍了安路FPGA-TangDynasty软件学习笔记二 仿真。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

1.前言

前面学习了安路FPGA-tangDynasty的大部分操作,现在具体学习TD和modelsim联合仿真。在查阅官方软件操作的手册时,惊叹于手册语言是中文,操作过程描述很详细。软件操作指南如图所示安路do文件仿真,fpga开发,学习,笔记

2.操作

大型模块在生成bit流之前一般需要进行功能仿真和时序仿真,TD软件不能直接仿真,需要借助第三方仿真工具。TD软件能提供仿真所需的功能模型和时序模型,然后将这些生成的模型放在modelsim中进行编译。

2.1TD生成仿真模型

2.1.1设置相关参数:

① Process → Properties → Optimize RTL:set rtl_sim_model ON。安路do文件仿真,fpga开发,学习,笔记
② Process → Properties → Optimize Gate: set gate_sim_model ON。
安路do文件仿真,fpga开发,学习,笔记
③Process → Properties → Optimize Routing:set phy_sim_model ON。
安路do文件仿真,fpga开发,学习,笔记
④Process → Properties → Timing Option:set sdf ON。安路do文件仿真,fpga开发,学习,笔记
⑤Process → Properties → Simulation:
需要在lib的位置自己手动添加一个路径作为指定仿真的库文件存放路径
设置完以上内容记得保存以下(save)
安路do文件仿真,fpga开发,学习,笔记

2.1.2 创建仿真文件

①右击Hierarchy
②点击New sourse
③选择file type(文件类型):这里选择Verilog test bench
④仿真文件命名
⑤仿真文件存放路径 (记住这个仿真文件路径)
安路do文件仿真,fpga开发,学习,笔记

2.1.3 运行仿真

①tools -> simulation
②选择刚刚 2.1.2 中存放仿真文件的路径,然后点击 ok
安路do文件仿真,fpga开发,学习,笔记
然后会在工程目录下生成脚本文件do文件(一般名称为工程名_phy_sim.do),此时TD软件的操作告一段落。
安路do文件仿真,fpga开发,学习,笔记

2.2Modelsim 仿真流程

2.2.1 modelsim配置TD的仿真模型

① 在modelsim的安装目录下,新建一个文件夹,如:anlogic。
安路do文件仿真,fpga开发,学习,笔记
②在anlogic文件下新建文件夹,如:TD_model_sourse。(这个文件夹存放TD软件的仿真模型源文件)
安路do文件仿真,fpga开发,学习,笔记
③复制TD软件安装路径sim_release目录下的所有文件放到TD_model_sourse文件夹中。
安路do文件仿真,fpga开发,学习,笔记
上图为TangDynasty 安装路径下各类型器件的仿真模型源文件,可以根据自己的器件复制对应文件到TD_model_sourse文件夹中。
安路do文件仿真,fpga开发,学习,笔记
上图为Modelsim软件中TD_model_sourse文件夹复制好后的情况。

④打开modelsim,在file -> new -> library 中新建库,并命名,如TD_model_ver。
安路do文件仿真,fpga开发,学习,笔记
⑤点击compile -> comple;
library选择刚建立的TD_model_ver;
查找范围选择TD_model_sourse路径下自己所需文件;(这里我选择的是ef3);
选中elf3所有的文件;
勾上 compile selected files together;
点击compile;
安路do文件仿真,fpga开发,学习,笔记

2.2.2 modelsim仿真

① 在modelsim中,点击file -> new -> project 新建工程,如demo安路do文件仿真,fpga开发,学习,笔记
② 点击 add existing file 添加设计文件,选择TD软件写好的设计源文件和testbench文件,点击OK。
安路do文件仿真,fpga开发,学习,笔记
③选中需要编译的文件,然后点击compile -> compile all
安路do文件仿真,fpga开发,学习,笔记
编译成功后,源文件的状态将会由“?”变成“√”。
安路do文件仿真,fpga开发,学习,笔记
④点击simulation -start simulate,在work library中选择testbench进行仿真,将Enable opimization前面的勾去掉。然后在library选项中添加仿真模型库,然后点击ok。安路do文件仿真,fpga开发,学习,笔记
安路do文件仿真,fpga开发,学习,笔记
⑤点击run -> run all,出现仿真波形。
安路do文件仿真,fpga开发,学习,笔记

2.3 补充

2.3.1如果testbench出现编译失败

①若仿真时碰到关于 glbl 的问题,需要testbench 中引用 Anlogic 的 glbl 模块
安路do文件仿真,fpga开发,学习,笔记

2.3.2如果仿真加载出现 load design error

[求助]解决load design error文章来源地址https://www.toymoban.com/news/detail-846876.html

到了这里,关于安路FPGA-TangDynasty软件学习笔记二 仿真的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA 学习笔记:Vivado simulation 仿真波形二进制显示

    最近在学习FPGA,发现除了烧写到FPGA上验证功能,最有效的方式就是软件仿真 软件仿真:simulation,就像是模拟器一样,写好测试用例,然后看是否可以输出想要的结果,用于验证FPGA逻辑的准确性 当前仿真成功后,还是需要真机实测验证 当前安装了好几个版本的Vivado ,当然

    2024年02月12日
    浏览(42)
  • FPGA学习笔记(五)Testbench(测试平台)文件编写进行Modelsim仿真

    一、FPGA学习笔记(一)入门背景、软件及时钟约束 二、FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三、FPGA学习笔记(三) 流水灯入门FPGA设计流程 四、FPGA学习笔记(四)通过数码管学习顶层模块和例化的编写 五、FPGA学习笔记(五)Testbench(测试平台)文件编写进行

    2024年02月07日
    浏览(34)
  • 简记_PSpice仿真软件学习笔记(一)

    目录  1、创建仿真项目  2、设置仿真软件和原理图主题色  3、打开和关闭仿真项目  4、元器件库和放置元件的方法  5、设计单片机低电平复位电路  6、单片机低电平复位电路仿真  7、添加新型号二极管到电路仿真项目  8、学习资源  9、静态工作点分析 文件新的工程输入

    2024年01月21日
    浏览(47)
  • FPGA学习笔记:verilog基础代码与modelsim仿真(六)——vga显示模块

    VGA显示 目标:实现屏幕红、橙、黄、绿、青、蓝、紫、黑、白、灰条形显示 1. 模块框图与波形图 vga_colorbar是实现目标功能的总体模块框图,为了实现对应的输出,我们使用三个具体功能模块实现功能。 (1) clk_gen——使用pll锁相环实现时钟分频 (2)vga_ctrl——图像控制与输出模

    2024年02月04日
    浏览(32)
  • 【安路FPGA】FPGA开发日记(一)

    一、开发环境的安装 首先去安路官网下载安装包工具与资料下载-国产FPGA创新者 - 安路科技 下载后解压 点击安装包直接傻瓜式安装即可 备注:安装后无法打开或者缺文件等情况是安路安装包不包含一些C++库,需要我们自己下载一个  二、一切准备工作做完开始建立第一个属

    2024年02月07日
    浏览(53)
  • FPGA学习笔记 1 -- Quartus软件的使用

    打开软件→新建工程→设计输入(verilog代码)→配置工程(I/O引脚复用)→分析与综合(对设计输入进行分析,检查是否有语法错误)→分配引脚(根据原理图分配)→编译工程(生成sof文件)→下载程序 或 找到工程路劲下的.qsf文件,直接添加或修改引脚 或 创建一个tcl文

    2024年02月12日
    浏览(28)
  • 安路FPGA烧录程序

    首先编译生成bit文件后,单击下载或者双击Downlod如图所示:  弹出下面窗口: Add添加bit文件 mode选择JTAG 2Mbps,点击Run下载,下面有进度条显示 固化程序,上面介绍的下载方式断电后程序就丢失了,需要烧录到flsh里断电程序不会丢失,设置如图: 把mode改到flsh模式在烧录即

    2024年02月07日
    浏览(43)
  • 基于安路FPGA的Cortex M0移植【FPGA】

    这其实是今年上半年参加集创赛安路科技杯时候做的内容,当时忙着考研复习大概做了个框架参赛,没想到还混到一个分赛区二等奖加一次公费旅游,现在保研后闲着写点博客记录下当时碰上的问题。 硬件平台是硬木课堂的安路 EG4S20BG256 核心板,资源一般够用,在这次赛题

    2024年01月21日
    浏览(30)
  • 安路FPGA的赋值报错——移位处理,加括号

    author daisy.skye的博客_CSDN博客-嵌入式,Qt,Linux领域博主 在使用移位符号用来当作除以号使用时,发现如下问题 其中  cnt_8K 为偶数和奇数时输出的数据不一样 但是在实际赋值过程如下代码的输出如下,其中当奇数时会一直输出0 只需要修改添加括号后,输出就正常了

    2024年02月12日
    浏览(27)
  • 安路Anlogic FPGA下载器的驱动安装教程

    安路FPGA下载器:EN-ALC10,是一款高性能FPGA下载线(编程器),支持安路的开发软件TDS和全系列FPGA芯片下载编程,支持全速USB2.0与电脑进行数据通信,通过JTAG协议与FPGA进行程序下载仿真等操作。 如下图所示: 1.下载器和电脑连接上usb线,如下图显示; 右键选择更新驱动程序;

    2024年02月02日
    浏览(46)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包