ZYNQ_HDMI显示

这篇具有很好参考价值的文章主要介绍了ZYNQ_HDMI显示。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

简介:

HDMI 是新一代的多媒体接口标准,英文全称是High-Definition Multimedia Interface,即高清多媒体接口。它能够同时传输视频和音频,简化了设备的接口和连线;同时提供了更高的数据传输带宽,可以传输 无压缩的数字音频及高分辨率视频信号。HDMI 1.0 版本于 2002 年发布,最高数据传输速度为 5Gbps;而 2017 年发布的HDMI 2.1 标准的理论带宽可达 48Gbps

参考资料:(22条消息) FPGA纯verilog手写HDMI发送IP 提供源码和技术支持_fpga ip源码_9527华安的博客-CSDN博客

正点原子hdmi彩条显示例程

显示原理:

zynq hdmi,fpga开发

 

一个hdmi显示系统由发送端和接收端组成。4个通道对应硬件上的4对差分信号(三对视频数据信号,一对时钟信号)。一个ddc通道(类似IIC),发送端可以通过此通道读取接收端的显示模式等相关信息。将控制信号和视频数据信号转化成四对差分信号传输的技术称为TMDS:

接口定义:

zynq hdmi,fpga开发

引脚1、4、7,3、6、9共同组成了三对差分信号对。中间的引脚2、5、8作为数据屏蔽

引脚10、11、12组成了一对时钟差分对

13脚CEC:保留的信号引脚,在工业用途上会使用。

17脚DDC:身份识别

硬件电路:

 (这是正点原子启明星V2的拓展板HDMI原理图)

zynq hdmi,fpga开发

TMDS技术:

名称:最小化传输差分信号(Transition Minimized Differential Signaling)

zynq hdmi,fpga开发

发送端将接收到的8为并行数据转化成串行数据,

TMDS技术的优点:

相比于LVDS与TTL技术,TMDS算法可以减少传输信号过程中的上冲和下冲。直流平衡使信号对信号线的电磁干扰减少。可以使用低成本的专用电缆实现长距离,高质量的数字信号传输。

TMDS传输原理

算法流程图:

zynq hdmi,fpga开发

其中:

zynq hdmi,fpga开发

1、视频数据8bit到10bit的转换

通过异或或者异或非运算逻辑运算得到前8位数据,第9位是编码位的添加,第十位是

直流均衡的处理 (保证这10位数据中1和0的个数相同)。

2、并行数据转化为差分信号

程序设计:

系统框图:

zynq hdmi,fpga开发

程序文件

zynq hdmi,fpga开发

1,hdmi_color_bar_top.v 顶层模块

1),端口包含:系统时钟与复位,三对RGB差分信号线,一对TMDS时钟差分信号线,一个TMDS输出使能信号(TMDS_oen)。

module  hdmi_colorbar_top(

    input        sys_clk,

    input        sys_rst_n,

   

    output       tmds_clk_p,    // TMDS 时钟通道

    output       tmds_clk_n,

    output [2:0] tmds_data_p,   // TMDS 数据通道

    output [2:0] tmds_data_n,

    output       tmds_oen       // TMDS 输出使能

);

 

2),例化的模块:

//例化MMCM/PLL IP核

clk_wiz_0  clk_wiz_0(

    .clk_in1        (sys_clk),

    .clk_out1       (pixel_clk),        //像素时钟

    .clk_out2       (pixel_clk_5x),     //5倍像素时钟

   

    .reset          (~sys_rst_n),

    .locked         (clk_locked)

);

作用:使用MMCM ip核产生其他模块所需的时钟信号

//例化视频显示驱动模块

video_driver u_video_driver(

    .pixel_clk      (pixel_clk),

    .sys_rst_n      (sys_rst_n),

    .video_hs       (video_hs),

    .video_vs       (video_vs),

    .video_de       (video_de),

    .video_rgb      (video_rgb),

    .pixel_xpos     (pixel_xpos_w),

    .pixel_ypos     (pixel_ypos_w),

    .pixel_data     (pixel_data_w)

    );

作用:产生彩条图案数据

 

//例化视频显示模块

video_display  u_video_display(

    .pixel_clk      (pixel_clk),

    .sys_rst_n      (sys_rst_n),

    .pixel_xpos     (pixel_xpos_w),

    .pixel_ypos     (pixel_ypos_w),

    .pixel_data     (pixel_data_w)

    );

作用:产生行场同步信号时序

//例化HDMI驱动模块

dvi_transmitter_top u_rgb2dvi_0(

    .pclk           (pixel_clk),

    .pclk_x5        (pixel_clk_5x),

    .reset_n        (sys_rst_n & clk_locked),

               

    .video_din      (video_rgb),

    .video_hsync    (video_hs),

    .video_vsync    (video_vs),

    .video_de       (video_de),

               

    .tmds_clk_p     (tmds_clk_p),

    .tmds_clk_n     (tmds_clk_n),

    .tmds_data_p    (tmds_data_p),

    .tmds_data_n    (tmds_data_n),

    .tmds_oen       (tmds_oen)

    );

作用:并串转换

 

 

oserdese2并串转换器:

在xlinx7系列的fpga中提供了专用的并串转换器:oserdese2,它还可以实DDR(双倍数据速率)的功能

OBUFDS:差分输出转换器

用于将来自FPGA内部逻辑的信号转换成差分信号输出,并支持TMDS电平标准

RGB2DVI模块框图:

zynq hdmi,fpga开发文章来源地址https://www.toymoban.com/news/detail-849485.html

到了这里,关于ZYNQ_HDMI显示的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 基于FPGA的 TMDS 编码 及 HDMI 显示

    目录 引言 TMDS 编码 原理简介 TMDS编码实现  HDMI差分数据串行 实现方法 源码 HDMI显示方法 思路 实现 工程结构 源代码分享 板级调试视频 最近在开发板上倒腾了一下 TMDS 视频编码的原理以及实现。特在此做一个记录。文附 全部设计源码、MATLAB源码,需要的可以关注一下。 T

    2024年02月07日
    浏览(39)
  • FPGA—HDMI 显示器驱动设计与验证(附代码)

    目录 1.理论 2.实操 2.1 顶层模块 2.2 时钟生成模块 2.3 HDMI 驱动控制模块 2.3.1 编码模块 2.3.2 并行转串行模块 2.4 顶层仿真验证 3.总结 HDMI简介       VGA 接口体积较大;且传输的模拟信号易受外界干扰。因此在VGA 接口之后,首先推出的是 DVI 接口, DVI 是基于 TMDS(Transition Minim

    2024年02月10日
    浏览(39)
  • (七)零基础FPGA图像处理——HDMI彩条显示实验

    此篇为专栏 《FPGA学习笔记》 的第七篇,记录我的学习FPGA的一些开发过程和心得感悟,刚接触FPGA的朋友们可以先去此专栏置顶 《FPGA零基础入门学习路线》来做最基础的扫盲。 本篇内容基于笔者实际开发过程和正点原子资料撰写,将会详细讲解此FPGA实验的全流程, 诚挚 地

    2024年02月03日
    浏览(48)
  • FPGA 20个例程篇:16.HDMI显示彩色风景图

           HDMI接口在消费类电子行业,比如笔记本电脑、液晶电视、投影仪等产品中均得到了广泛的应用,一些专业的视频设备如摄像机、视频切换器、机顶盒等也都会集成HDMI接口,HDMI是新一代的多媒体接口标准即高清多媒体接口,作为应用最为广泛的音视频传输接口,熟练

    2024年02月01日
    浏览(35)
  • Zynq和FPGA区别——快速认识Zynq开发

    ZYNQ包含了2个部分,双核的ARM和FPGA。根据Xilinx提供的手册,用ARM实现的模块被称为PS,而用FPGA实现的模块被称为PL。简单的说FPA更偏向于逻辑,不跑系统。 ZYNQ内部包含PS和PL两部分,ZYNQ开发有一下四种方式: ZYNQ是赛灵思公司(Xilinx)推出的新一代全可编程片上系统,它将处

    2024年02月16日
    浏览(45)
  • FPGA实现SD卡读写照片显示在HDMI显示屏(IP调用)

            概述: TF 卡读写数据,利用 VDMA 和 HDMI 显示视频图像,实现从 SD 卡读取图片并且在 HDMI 显示器上显示。 步骤一:PL端配置IP SD卡配置 确保 SDIO 接口,设置正确,SD_0 是 TF 卡 在ZYNQ的IP核中配置好SD卡,SD0是TF卡,SD1是EMMC    2.VDMA配置 查找官方IP: ·VTC IP:这个 IP 就是

    2024年04月22日
    浏览(27)
  • 基于FPGA的以太网传输图片通过HDMI显示(含源码)

      在此之前,已经讲解过HDMI、UDP、DDR3等模块的使用,前文在使用HDMI显示图片时,由于没有讲解DDR3,使用FPGA内部的RAM存储图像数据,因为FPGA片上RAM的资源有限,导致最终显示放大的图片失真严重。   本文通过DDR3存储整张图片的数据,然后通过HDMI在显示器上进行显示,

    2024年03月27日
    浏览(46)
  • 【FPGA开发】HDMI通信协议解析及FPGA实现

      笔者在这里使用的开发板是正点原子的达芬奇开发板,FPGA型号为XC7A35TFGG484-2。参考的课程是正点原子的课程手把手教你学达芬奇达芬奇Pro之FPGA开发篇。   HDMI,全称为High Definition Multimedia Interface,即高清多媒体接口。它不仅可以传输视频信号,还可以传输音频信号。上

    2024年02月21日
    浏览(36)
  • FPGA 20个例程篇:19.OV7725摄像头实时采集送HDMI显示(三)

           在详细介绍过OV7725 CMOS Sensor的相关背景知识和如何初始化其内部寄存器达到输出预期视频流的目的后,就到了该例程的核心内容即把OV7725输出的视频流预先缓存到外部DDR3颗粒,接着按照HDMI的视频格式把DDR3颗粒内存储的一帧一帧图像数据送显到屏幕上显示,如图1所示

    2024年01月17日
    浏览(45)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包