高云CPLD/FPGA IDE开发环境搭建-保姆级教程

这篇具有很好参考价值的文章主要介绍了高云CPLD/FPGA IDE开发环境搭建-保姆级教程。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

高云CPLD/FPGA IDE开发环境搭建-保姆级教程

一、下载软件安装包

IDE软件下载页

安装包提供有windows和linux 两种平台的,根据你的操作系统选择一种合适的下载即可。

提供有教育版和商业版,出于教育、研究目的,建议安装教育版。如果想要商业版的,需要申请license
license 可以免费申请,去申请

高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言
高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言

商业版Win懒人下载,点击即下
教育版Win懒人下载,点击即下

二、安装(以Windows为例)

2.1 双击 Gowin_Vx.x.x.x_x64_win.exe开始安装流程

双击安装包,弹出欢迎界面,点击“Next”:高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言
点击“IAgree”同意授权协议:
高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言
选择要安装的模块,点击“Next”:
高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言

  1. 这里提供了两个模块,默认都选择上了,开发人员建议都选择上,不要取消。
  2. Gowin模块是整个IDE的主要模块,用于新建工程项目、编写代码、综合实现、约束仿真、输出配置流文件等功能。
  3. Gowin Programmer 模块是用于将配置流文件(也可称烧录文件)烧录到CPLD/FPGA芯片上的工具。
  4. 以上两个模块是独立,可以单独安装其中一个,比如生产环境可以只安装Programmer 模块。当有需要时可以再补充安装。

选择安装路径,点击“Install”:
高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言

这里建议不要改路径,如果要更改路径,所选路径不要有中文和空格。
如果非要更改,推荐改到C盘以外的地方,D盘、E盘、F盘等,不要改到那些需要管理员权限才能读写的路径,否则可能会出现权限不足问题。

等待安装过程,完成后点击“Next”:
高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言

安装完成,并选择是否安装Programmer驱动,点击“Finish”:
高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言

  1. IDE已经完成安装,这里提供了两个驱动的安装,默认都选择上了,开发人员建议都选择上,不要取消。
  2. 这两个驱动是JTAG烧录器的驱动,建议安装也可以按需手动安装。

手动安装,驱动所在路径:C:\Gowin\Gowin_V1.9.9.01_x64\Programmer\driver
高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言

2.2 烧录器驱动安装

如果不需要,可以跳过该部分内容。
驱动所在路径:C:\Gowin\Gowin_V1.9.9.01_x64\Programmer\driver

其中 C:\Gowin 是你的安装路径。

安装界面,点击“Install”:
高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言
等待安装过程:
高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言
安装完成,点击“Close”完成安装:
高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言
安装 FIDI USB驱动,点击“Extract”解压文件:
高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言
解压完成,点击“下一步”开始安装:
高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言
点击“我接受这个协议”,同意协议,下一页:
高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言
点击“完成”,完成最后的安装:
高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言
自此,已经完成所有驱动的安装。

三、打开软件

3.1 快捷菜单

高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言
在左下角,找到Gowin ,在菜单下有三个选型,点击即可启动:
Gowin : 编程、仿真、综合、实现工具
Gowin Programmer: 烧录、调试工具
Uninstall : 卸载工具

3.2 桌面快捷

高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言
桌面快捷键,双击即可启动。

导入license证书

软件首次启动,弹窗提示安装证书:
高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言
点击“Browser” 选择你申请到的证书。

证书申请到之后,一般会邮件发送到你手上,拿到证书之后,将证书放到安装目录下。

高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言

选择证书路径

高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言

选择“gowin_E_xxxxxxxxx.lic”的证书文件。

检测证书

高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言
点击"Check" ,可以检测证书有效期,MAC地址等信息,不需要看的可以跳过。

保存关闭

高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言

点击“Save”保存导入的证书,并关闭窗口

启动软件

软件重新启动,即可进入IDE的起始页。
高云CPLD/FPGA IDE开发环境搭建-保姆级教程,fpga开发,ide,测试工具,fpga,嵌入式硬件,单片机,开发语言

好了,安装教程到此为止,等待下一期创建工程吧。Now please enjoy…文章来源地址https://www.toymoban.com/news/detail-853075.html

到了这里,关于高云CPLD/FPGA IDE开发环境搭建-保姆级教程的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 基于高云FPGA开发板的多功能数字时钟

    目录 一、数字时钟作品的功能 二、数字时钟作品的主体设计原理和功能说明 三、数字时钟的各设计模块说明及模块程序代码 1) 时钟分频模块time_div、freq_div 2)按键消抖模块key_db 3)控制模块control 4)时间正常计数模块time_count 5)时间设置模块time_set 6)时间动态扫描位选模块time_d

    2024年01月22日
    浏览(23)
  • 基于高云FPGA开发板的十字路口交通灯

    结合高云MiniStar_Nano EDA开发板,完成设计十字路口交通信号灯控制系统。 1.要求该交通灯为三色灯控制器,红灯亮表示禁止通行,绿灯亮表示允许通行,黄灯亮表示要求压线车辆快速穿越。主干道和次干道灯的亮灭时序按照交通规则设置。 2.可以调整主干道和次干道绿灯和红

    2024年01月19日
    浏览(23)
  • 高云FPGA系列教程(9):cmd-parser串口命令解析器移植

    本文是高云FPGA系列教程的第9篇文章。 上一篇文章介绍片上ARM Cortex-M3硬核处理器 串口外设 的使用,演示轮询方式和中断方式接收串口数据,并进行回环测试。 本文在上一篇工程的基础上,移植cmd-parser串口命令解析器,到高云GW1NSR-4C ARM处理器上,实现3个命令:

    2024年02月08日
    浏览(18)
  • 轻松搭建FPGA开发环境:第三课——Vivado 库编译与设置说明

    工欲善其事必先利其器,很多人想从事 FPGA 的开发,但是不知道如何下手。既要装这个软件,又要装那个软件,还要编译仿真库,网上的教程一大堆,不知道到底应该听谁的。所以很多人还没开始就被繁琐的开发环境搭建吓退了,还没开始就放弃了! 笔者用几节课的时间,从

    2024年02月04日
    浏览(19)
  • fyne开发之环境搭建(保姆喂饭级教程)

    使用Fyne 需要 3 个基本元素,Go 工具(至少版本 1.12),C 编译器(连接系统图形驱动程序)和系统图形驱动程序 不同系统的安装步骤 这里主要讲Windows 这一点看其它go语言安装就可以了 主要是现在没啥子心情写这个 。安装之后记得正确的配置golang的GOPATH和GOROOT C编译器有三个

    2024年01月23日
    浏览(21)
  • 高云FPGA使用过程中端口复用设置

    高云FPGA使用过程中端口复用设置 使用芯片:GW2AR-18C; 编译环境:高云Version:1.9.8; 在移植FPGA程序过程中,由于使用了SSPI专用管脚,所以在把专用管脚当做普通IO的时候,就会报错,说当前管脚不支持; 在之前使用Quartus的Cyclone芯片的时候,里面有一个管脚复用的设置,那

    2024年02月07日
    浏览(21)
  • 一起来做个CH347的项目(应用于FPGA、CPLD、MCU)

            国产CH347芯片自从出现在大众视野,就展开了很多讨论。四种工作模式,多接口可同时使用,如下表: 接口模式 接口功能 Mode-0 480Mbps高速USB转双UART(Baudrate最高9Mbps) Mode-1 480Mbps高速USB转UART+SPI+I2C(厂商驱动模式) Mode-2 480Mbps高速USB转UART+SPI+I2C(系统HID驱动模式)

    2024年02月09日
    浏览(15)
  • Windows:Arduino IDE 开发环境配置【保姆级】

    物联网开发学习笔记——目录索引 参考官网:Arduino - Home Arduino是一款简单易学且功能丰富的开源平台,包含硬件部分(各种型号的Arduino开发板)和软件部分(Arduino IDE)以及广大爱好者和专业人员共同搭建和维护的互联网社区和资源。 Arduino IDE软件是Arduino开发板的程序开发环

    2024年02月07日
    浏览(19)
  • 高云FPGA芯片GW1NSR-4C芯片资源介绍

    首先自我介绍:我司市高云的一级代理商  随时欢迎大家和我探讨高云FPGA芯片:Lattice_joan 高云4K的FPGA芯片采用的是高云半导体小蜜蜂系列的GW1NSR-4C,它是一颗SoC芯片,片上集成了FPGA逻辑和ARM Cortex-M3 硬核处理器 。注意是硬核处理器,而不是软核,两者有很大的区别,硬核处

    2024年02月06日
    浏览(20)
  • Python 环境搭建,集成开发环境IDE: PyCharm

    今天我要和大家分享一个非常有趣的话题——Python开发环境搭建。在我们的日常生活中,图片处理已经成为了一个非常常见的需求,无论是修图、美颜还是进行一些创意合成,都离不开图片处理。而Python作为一门功能强大的编程语言,在图片处理方面也能够发挥出其独特的优

    2024年02月08日
    浏览(42)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包