FPGA 从零开始学习

这篇具有很好参考价值的文章主要介绍了FPGA 从零开始学习。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

FPGA 从零开始学习

第一章 工欲善其事必先利其器–各类工具安装

  1. FPGA开发工具安装
  2. 软件配置和可能遇到的问题


前言

这里主要介绍软件安装完成的配置和可能遇到的问题,以及把自己遇到的问题做个汇总和分享。


一、软件安装中的问题

1. Vivado的安装过程中的可能问题

A. 未关闭杀毒软件导致的中断和报错;

建议新手还是听劝吃饱吧,不然重新安装的时长和过程真的暴躁,亲身体验。

B. 默认安装在 C 盘下的“Xilinx”文件夹下,可以点击后面的三个点来修改安装目录。注意!安装路径只能够包含字母、数字、下划线,否则安装程序有可能出问题。更换安装路径需要提前安排好硬盘空间,大约90G左右;

C. 添加Licese过程;
fpga开发软件安装,fpga开发,硬件工程
fpga开发软件安装,fpga开发,硬件工程
最后在上图中的View License Status可以查看许可的到期时间。

2. Modelsim的安装过程中的可能问题

A. 注意!安装路径中不要有中文和非法字符;

这个可能引起的问题就是在Vivado和Modelsim联合仿真的时候,从Vivado里调用Modelsim仿真时会卡在某个界面无法打开Modelsim软件。(已经遇到,请避坑)

二、软件配置

1. Modelsim的配置

A. 软件安装完成环境变量的设置;
不同的Windows版本用户环境变量的位置不同,这里以WIN10为例,进行设置
fpga开发软件安装,fpga开发,硬件工程
最后要新建一个MGLS_LICENSE_FILE的环境变量,位置指向License文件所在位置。

B. Vivado和Modelsim联合仿真配置

首先要生成Modelsim的编译仿真库,如下
fpga开发软件安装,fpga开发,硬件工程
在弹出的 Compile Simulation Libraries 窗口中, Simulator(仿真器)为选择对应的第三方仿真工具,这里我们选择 ModelSim Simulator。其他设置默认即可,Famliy这里可以默认也可以根据需要选择FPGA系列,如果全选那么编译仿真库时间会比较长。
fpga开发软件安装,fpga开发,硬件工程
Simulator executable path(仿真器可执行路径),没有的话可以自己手动添加,选到 Modelsim 安装路径下的 win64 文件夹就行了。
fpga开发软件安装,fpga开发,硬件工程
Compiled library location(汇编库地址) 和 GCC executable path(GCC 可执行路径)都选择我们创建的编译库文件夹(存放Modelsim编译仿真库的位置),Vivado 会自动识别出 Simulator executable path。
编译仿真库时间较长,几十分钟大概,请等待完成。

C. Vivado中关联Modelsim

fpga开发软件安装,fpga开发,硬件工程
fpga开发软件安装,fpga开发,硬件工程
选择第3方仿真软件,安装路径选择Modelsim安装文件夹win64下,GCC路径选择生成的编译仿真库文件夹。
fpga开发软件安装,fpga开发,硬件工程
此时就可以在仿真工程Tools 下的 Settings(设置)选项,设置窗口中选择 Simulation(仿真器)来指定该工程使用什么仿真器进行仿真。
最后在Vivado中进行行为仿真就OK了,打开的是Modelsim仿真软件。

2. Notepad++的配置

A. Notepad++中插件添加:

Notepad++软件支持添加插件,插件文件应该是.dll文件。方法是把.dll文件复制到安装目录下的plugins文件夹中,这样就可以在Notepad++插件下看到了。
fpga开发软件安装,fpga开发,硬件工程
B. Notepad++关联文件设置:

fpga开发软件安装,fpga开发,硬件工程
点击工具栏的设置 => 首选项 => 文件关联 => .v,如图设置就可以了,这样就可以用Notepad++创建和编辑Verilog代码了。


总结

这篇主要是针对软件安装和配置过程中可能遇到的一些问题进行汇总和记录,有些是自己遇到的,浪费了不少时间,希望可以给大家一个参考,避坑。文章来源地址https://www.toymoban.com/news/detail-858168.html

到了这里,关于FPGA 从零开始学习的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Fpga开发笔记(二):高云FPGA发开发软件Gowin和高云fpga基本开发过程

    若该文为原创文章,转载请注明原文出处 本文章博客地址:https://hpzwl.blog.csdn.net/article/details/135620590 红胖子网络科技博文大全:开发技术集合(包含Qt实用技术、树莓派、三维、OpenCV、OpenGL、ffmpeg、OSG、单片机、软硬结合等等)持续更新中… 上一篇:《Fpga开发笔记(一):

    2024年01月16日
    浏览(53)
  • FPGA新起点V1开发板(二)——Quartus II软件的安装和USB-BLaster驱动安装

    当然,这种东西我要是再写一遍就很无聊了,这里给出方法和连接 视频:Quartus II软件的安装 文章:【正点原子FPGA连载】第四章Quartus II软件的安装和使用 -摘自【正点原子】新起点之FPGA开发指南_V2.1 资源:FPGA新起点V1开发板 在工具盘里面 破戒:链接 最后当然是成功啦 首先

    2024年02月12日
    浏览(29)
  • 【FPGA】xilinx的开发软件vitis使用简介

           Xilinx的开发软件Vitis是一款全新的开发工具套件,它支持多种编程语言如C++、OpenCL、Python等,以及多种硬件平台,包括Xilinx的FPGA和ACAP(Adaptive Compute Acceleration Platform)。这使得它具有极高的灵活性,可以应对不同类型的开发需求,包括数据中心、云端和边缘智能应用

    2024年02月04日
    浏览(35)
  • Xilinx Alveo系列FPGA加速卡软件开发入门

    随着异构计算越来越火,FPGA加速卡在诸多领域的应用也越来越多。 FPGA加速卡与GPU加速卡加速原理完全不同。 GPU本质上是依靠海量的并行运算单元,提升整体的吞吐量,来吃尽内存带宽。 FPGA是通用的门阵列,按照数据结构和运算特点搭建专用运算单元,能够以更低功耗和时

    2024年02月05日
    浏览(29)
  • FPGA 从零开始学习

    第一章 工欲善其事必先利其器–各类工具安装 FPGA开发工具安装 软件配置和可能遇到的问题 这里主要介绍软件安装完成的配置和可能遇到的问题,以及把自己遇到的问题做个汇总和分享。 A. 未关闭杀毒软件导致的中断和报错; 建议新手还是听劝吃饱吧,不然重新安装的时长

    2024年04月26日
    浏览(25)
  • FPGA_ZYNQ (PS端)开发流程(Xilinx软件工具介绍)

            针对不同的应用领域,Xilinx 公司设计开发了各种逻辑资源规模和集成各 种外设功能的Zynq SOC器件,包括专为成本优化的Zynq-7000平台,面向高性 能实时计算应用领域的 Zynq UltraScale+ MPSoC,面向射频通信的 ZynqUltraScale+ RFSoC,以及具备高度可扩展特性的自适应加速平台

    2024年01月16日
    浏览(51)
  • 从零开始学ZYNQ(FPGA)笔记二 | 认识学习内容

    目录 1. 认识FPGA 什么是FPGA FPGA的编程过程  2. 认识ARM 什么是ARM ARM与FPGA的区别 ARM与Linux 3. 认识ZYNQ ZYNQ与FPGA的区别 ZYNQ的\\\"ARM\\\"和\\\"FPGA\\\" 关于PL 关于PS 4. 学习用板载资源 5. 总结         FPGA是一种集成电路,它可以在制造后由客户或设计者根据需要配置电路功能 。FPGA的内部由可

    2024年02月08日
    浏览(36)
  • Xlinx FPGA如何在软件开发端使用XSCT工具直接对寄存器进行读和写调试

    当我在开发ZYNQ过程中使用PL-PS 的IP核之间相互使用,那么就得需要对IP核中所定义的寄存器进行读和写,那么在软件端有这么一个叫XSCT的工具来进行操作。 例子1:接下来使用XSCT来调试串口对数据上下行传输读/写。 以下是官方 AXI UART Lite ip核的寄存器列表 xsct%: mwr 0x42c00004

    2024年01月16日
    浏览(30)
  • FPGA新起点V1开发板(三)——Quartus II软件的使用(流水灯的烧录以及sof转jic的方法记录)

    先创建一个工程文件夹 flow_led 再创建四个子文件夹 doc存放工程的设计文档或者其他一些datasheet文档、数据手册 par存放工程文件 rtl存放设计文件,也就代码 sim存放工程的仿真文件 第一个第四个可以为空,但是做此可以有良好的习惯 这是打开一个工程向导 这是选择FPGA的芯片

    2024年02月04日
    浏览(31)
  • [从零开始学习FPGA编程-32]:进阶篇 - 基本时序电路-D触发器(Verilog语言)

    作者主页(文火冰糖的硅基工坊):文火冰糖(王文兵)的博客_文火冰糖的硅基工坊_CSDN博客 本文网址:  目录 第1章 什么是时序电路 1.1 时序电路 1.2 什么是触发器

    2023年04月08日
    浏览(33)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包